คอมพิวเตอร์ Windows อินเทอร์เน็ต

ไมโครโปรเซสเซอร์ที่ทันสมัย หลักสูตร: ขั้นตอนการผลิตไมโครโปรเซสเซอร์ เทคโนโลยีการผลิตไมโครโปรเซสเซอร์

ตามที่สัญญาไว้ - เรื่องราวโดยละเอียดเกี่ยวกับวิธีการทำโปรเซสเซอร์ ... เริ่มต้นด้วยทราย ทุกเรื่องที่อยากรู้แต่ไม่กล้าถาม)


ฉันได้พูดคุยเกี่ยวกับ " สถานที่ผลิตโปรเซสเซอร์"และอะไร" ปัญหาในการผลิต"ยืนบนเส้นทางนี้ วันนี้เราจะพูดถึงการผลิตโดยตรง - "ภายในและภายนอก"

การผลิตโปรเซสเซอร์

เมื่อสร้างโรงงานผลิตโปรเซสเซอร์โดยใช้เทคโนโลยีใหม่ มีเวลา 4 ปีในการชดใช้เงินลงทุน (มากกว่า 5 พันล้านดอลลาร์) และทำกำไร จากการคำนวณลับอย่างง่าย ปรากฎว่าโรงงานต้องผลิตแผ่นงานอย่างน้อย 100 แผ่นต่อชั่วโมง

โดยสังเขป กระบวนการผลิตโปรเซสเซอร์มีลักษณะดังนี้: ผลึกเดี่ยวของรูปทรงกระบอกเติบโตจากซิลิกอนหลอมเหลวบนอุปกรณ์พิเศษ แท่งที่ได้จะถูกทำให้เย็นลงและตัดเป็น "แพนเค้ก" ซึ่งพื้นผิวจะถูกปรับระดับอย่างระมัดระวังและขัดเงาจนเป็นกระจก จากนั้นใน "ห้องสะอาด" ของโรงงานเซมิคอนดักเตอร์ วงจรรวมจะถูกสร้างขึ้นบนแผ่นเวเฟอร์ซิลิกอนโดยโฟโตลิโทกราฟีและการแกะสลัก หลังจากทำความสะอาดเพลตอีกครั้ง ผู้เชี่ยวชาญในห้องปฏิบัติการภายใต้กล้องจุลทรรศน์จะทำการทดสอบโปรเซสเซอร์แบบคัดเลือก - หากทุกอย่างเรียบร้อย เพลตที่เสร็จแล้วจะถูกตัดเป็นโปรเซสเซอร์แยกต่างหาก ซึ่งต่อมาจะใส่ในเคส

วิชาเคมี

มาดูกระบวนการทั้งหมดกันดีกว่า ปริมาณซิลิกอนในเปลือกโลกอยู่ที่ประมาณ 25-30% โดยมวล เนื่องจากธาตุนี้เป็นอันดับสองรองจากออกซิเจนในแง่ของความชุก ทรายโดยเฉพาะทรายควอทซ์มีเปอร์เซ็นต์ซิลิกอนสูงในรูปของซิลิกอนไดออกไซด์ (SiO 2) และในตอนต้นของกระบวนการผลิตเป็นองค์ประกอบพื้นฐานสำหรับการสร้างเซมิคอนดักเตอร์

เริ่มแรก SiO 2 ถูกถ่ายในรูปของทรายซึ่งลดลงด้วยโค้กในเตาอาร์ค (ที่อุณหภูมิประมาณ 1800 ° C):

ซิลิคอนดังกล่าวเรียกว่า “ เทคนิค”และมีความบริสุทธิ์ 98-99.9% โปรเซสเซอร์ต้องการวัตถุดิบที่สะอาดกว่ามากที่เรียกว่า " ซิลิกอนอิเล็กทรอนิกส์"- ควรมีอะตอมแปลกปลอมไม่เกินหนึ่งอะตอมต่อหนึ่งพันล้านอะตอมของซิลิกอน ในการทำให้บริสุทธิ์ถึงระดับนี้ ซิลิคอนจึงถูก "เกิดใหม่" อย่างแท้จริง โดยการคลอรีนของซิลิกอนเชิงพาณิชย์ จะได้รับซิลิกอนเตตระคลอไรด์ (SiCl 4) ซึ่งจะถูกแปลงเป็นไตรคลอโรซิเลน (SiHCl 3) เพิ่มเติม:
ปฏิกิริยาเหล่านี้โดยใช้การรีไซเคิลสารที่ประกอบด้วยซิลิกอนผลพลอยได้ที่เกิดขึ้นช่วยลดต้นทุนและขจัดปัญหาสิ่งแวดล้อม:
2SiHCl 3 SiH 2 Cl 2 + SiCl 4
2SiH 2 Cl 2 SiH 3 Cl + SiHCl 3
2SiH 3 Cl SiH 4 + SiH 2 Cl 2
SiH 4 Si + 2H 2
ไฮโดรเจนที่ได้นั้นสามารถใช้ได้ในหลาย ๆ ที่ แต่สิ่งที่สำคัญที่สุดคือได้รับซิลิคอน "อิเล็กทรอนิกส์" บริสุทธิ์ (99.9999999%) หลังจากนั้นไม่นาน เมล็ดพืช ("จุดเติบโต") จะถูกหย่อนลงในส่วนที่หลอมเหลวของซิลิคอนดังกล่าว ซึ่งจะค่อยๆ ดึงออกจากเบ้าหลอม เป็นผลให้เกิดสิ่งที่เรียกว่า "ลูกเปตอง" ซึ่งเป็นผลึกเดี่ยวที่มีความสูงของผู้ใหญ่ น้ำหนักมีความเหมาะสม - ในการผลิตถังดังกล่าวมีน้ำหนักประมาณ 100 กิโลกรัม

แท่งโลหะถูกถลกหนังด้วย "ศูนย์" :) และเจียระไนด้วยเลื่อยเพชร ที่ทางออก - เวเฟอร์ (ชื่อรหัสว่า "วาฟเฟิล") ที่มีความหนาประมาณ 1 มม. และเส้นผ่านศูนย์กลาง 300 มม. (~ 12 นิ้ว) เหล่านี้เป็นแผ่นที่ใช้สำหรับเทคโนโลยีการผลิต 32 นาโนเมตร ด้วยเทคโนโลยี HKMG, High-K / Metal Gate ). กาลครั้งหนึ่ง Intel ใช้แผ่นดิสก์ที่มีเส้นผ่านศูนย์กลาง 50 มม. (2 ") และในอนาคตอันใกล้นี้ได้มีการวางแผนที่จะเปลี่ยนไปใช้เวเฟอร์ที่มีขนาดเส้นผ่านศูนย์กลาง 450 มม. ซึ่งเป็นเหตุผลอย่างน้อยในแง่ของการลดต้นทุนการผลิตชิป เมื่อพูดถึงการประหยัด คริสตัลเหล่านี้ปลูกนอก Intel สำหรับการผลิตโปรเซสเซอร์ พวกเขาจะซื้อที่อื่น

แผ่นแต่ละแผ่นขัดเงาทำให้เรียบสนิททำให้พื้นผิวเป็นกระจกเงา

การผลิตชิปประกอบด้วยการดำเนินการมากกว่าสามร้อยครั้งซึ่งเป็นผลมาจากโครงสร้างสามมิติที่ซับซ้อนมากกว่า 20 ชั้น - ปริมาณของบทความที่มีอยู่ในHabréจะไม่อนุญาตให้เราอธิบายสั้น ๆ แม้แต่ครึ่งหนึ่งของรายการนี้ :) ดังนั้น สั้นมากและเฉพาะเกี่ยวกับขั้นตอนที่สำคัญที่สุดเท่านั้น

ดังนั้น. จำเป็นต้องถ่ายโอนโครงสร้างของโปรเซสเซอร์ในอนาคตไปยังแผ่นเวเฟอร์ซิลิกอนขัดเงา กล่าวคือ การนำสิ่งเจือปนเข้าไปในบางพื้นที่ของซิลิคอนเวเฟอร์ ซึ่งท้ายที่สุดแล้วจะก่อตัวเป็นทรานซิสเตอร์ ทำอย่างไร? โดยทั่วไป การใช้เลเยอร์ต่างๆ กับซับสเตรตของโปรเซสเซอร์นั้นเป็นศาสตร์ทั้งหมด เพราะแม้แต่ในทางทฤษฎี กระบวนการดังกล่าวก็ไม่ง่าย (ไม่ต้องพูดถึงการปฏิบัติ โดยคำนึงถึงสเกลด้วย) ... แต่ก็ดีที่เข้าใจความซับซ้อน;) ดีหรืออย่างน้อยก็พยายามคิดออก

โฟโตลิโทกราฟี

ปัญหานี้แก้ไขได้ด้วยความช่วยเหลือของเทคโนโลยีโฟโตลิโทกราฟี - กระบวนการเลือกแกะสลักของชั้นพื้นผิวโดยใช้โฟโตมาสก์ป้องกัน เทคโนโลยีนี้สร้างขึ้นบนหลักการของ "light-template-photoresist" และดำเนินการดังนี้:
- ชั้นของวัสดุถูกนำไปใช้กับซับสเตรตซิลิกอน จากนั้นจึงสร้างลวดลาย มันถูกนำไปใช้ ช่างถ่ายภาพ- ชั้นของวัสดุโพลีเมอร์ไวแสงที่เปลี่ยนคุณสมบัติทางเคมีกายภาพเมื่อถูกฉายรังสี
- ผลิต จัดแสดง(การส่องสว่างของเลเยอร์ภาพถ่ายในช่วงเวลาที่กำหนดอย่างแม่นยำ) ผ่านโฟโตมาสก์
- การกำจัด photoresist ที่ใช้แล้ว
โครงสร้างที่ต้องการถูกวาดบนโฟโตมาสก์ - ตามกฎแล้วมันคือจานที่ทำจากแก้วออปติคัลซึ่งถ่ายภาพบริเวณที่ทึบแสง เทมเพลตดังกล่าวแต่ละอันมีเลเยอร์หนึ่งของโปรเซสเซอร์ในอนาคต ดังนั้นจึงต้องมีความแม่นยำและใช้งานได้จริง

บางครั้งเป็นไปไม่ได้เลยที่จะวางวัสดุบางอย่างในตำแหน่งที่ถูกต้องบนจาน ดังนั้นจึงง่ายกว่ามากที่จะทาวัสดุนั้นกับพื้นผิวทั้งหมดทันที โดยจะขจัดส่วนเกินออกจากบริเวณที่ไม่ต้องการ - ภาพด้านบนแสดงการใช้ นักถ่ายภาพในสีน้ำเงิน

แผ่นถูกฉายรังสีด้วยการไหลของไอออน (อะตอมที่มีประจุบวกหรือลบ) ซึ่งเจาะใต้พื้นผิวของแผ่นในสถานที่ที่กำหนดและเปลี่ยนคุณสมบัติการนำของซิลิกอน (พื้นที่สีเขียวฝังอะตอมต่างประเทศ)

วิธีแยกบริเวณที่ไม่ต้องการหลังการรักษา? ก่อนการพิมพ์หิน จะใช้พื้นผิวของแผ่นเวเฟอร์ซิลิกอน (ที่อุณหภูมิสูงในห้องพิเศษ) ฟิล์มป้องกันอิเล็กทริก - อย่างที่ฉันพูดไปแล้วแทนที่จะใช้ซิลิกอนไดออกไซด์แบบดั้งเดิม Intel เริ่มใช้ไดอิเล็กทริก High-K มันหนากว่าซิลิกอนไดออกไซด์ แต่ในขณะเดียวกันก็มีคุณสมบัติ capacitive เหมือนกัน ยิ่งไปกว่านั้น เนื่องจากความหนาที่เพิ่มขึ้น กระแสรั่วไหลผ่านไดอิเล็กตริกจึงลดลง และด้วยเหตุนี้ จึงเป็นไปได้ที่จะได้รับโปรเซสเซอร์ที่ประหยัดพลังงานมากขึ้น โดยทั่วไป ยากกว่ามากที่นี่มากที่จะรับรองความสม่ำเสมอของฟิล์มนี้ทั่วทั้งพื้นผิวของเพลต - ในการนี้ จะใช้การควบคุมอุณหภูมิที่มีความแม่นยำสูงในการผลิต

แค่นั้นเอง ในสถานที่เหล่านั้นที่จะได้รับการบำบัดด้วยสิ่งสกปรกไม่จำเป็นต้องใช้ฟิล์มป้องกัน - จะถูกลบออกอย่างระมัดระวังโดยการแกะสลัก (การลบพื้นที่ของชั้นเพื่อสร้างโครงสร้างหลายชั้นที่มีคุณสมบัติบางอย่าง) และวิธีลบออกไม่ได้ทุกที่ แต่เฉพาะในพื้นที่ที่จำเป็นเท่านั้น? ด้วยเหตุนี้จึงต้องใช้ photoresist อีกชั้นหนึ่งทับฟิล์ม - เนื่องจากแรงเหวี่ยงของแผ่นหมุนจึงถูกนำไปใช้ในชั้นที่บางมาก

ในการถ่ายภาพ แสงส่องผ่านฟิล์มเนกาทีฟ กระทบพื้นผิวของกระดาษภาพถ่าย และเปลี่ยนคุณสมบัติทางเคมีของมัน ใน photolithography หลักการคล้ายกัน: แสงถูกส่งผ่าน photoresist ไปยัง photoresist และในสถานที่เหล่านั้นที่มันผ่านหน้ากาก คุณสมบัติแต่ละส่วนของ photoresist จะเปลี่ยนคุณสมบัติ การแผ่รังสีของแสงจะถูกส่งผ่านหน้ากากและโฟกัสไปที่พื้นผิว เพื่อการโฟกัสที่แม่นยำ จำเป็นต้องใช้ระบบพิเศษของเลนส์หรือกระจกที่ไม่เพียงแต่ลดภาพที่ตัดบนหน้ากากให้เท่ากับขนาดของชิป แต่ยังฉายภาพลงบนชิ้นงานได้อย่างแม่นยำอีกด้วย แผ่นพิมพ์มักจะเล็กกว่าตัวมาสก์สี่เท่า

โฟโตรีซีสต์ที่ใช้แล้วทั้งหมด (ซึ่งเปลี่ยนความสามารถในการละลายภายใต้การกระทำของการฉายรังสี) จะถูกลบออกด้วยสารละลายเคมีพิเศษ - ร่วมกับสารตั้งต้นซึ่งเป็นส่วนหนึ่งของสารตั้งต้นภายใต้สารเรืองแสงที่มีแสงจะละลาย ส่วนของวัสดุพิมพ์ที่ถูกปิดบังจากแสงโดยหน้ากากจะไม่ละลาย มันสร้างตัวนำหรือองค์ประกอบที่ใช้งานในอนาคต - ผลลัพธ์ของวิธีการนี้คือรูปแบบการลัดวงจรที่แตกต่างกันในแต่ละชั้นของไมโครโปรเซสเซอร์

ตามความเป็นจริงแล้ว ขั้นตอนก่อนหน้านี้ทั้งหมดมีความจำเป็นเพื่อสร้างโครงสร้างเซมิคอนดักเตอร์ในสถานที่ที่จำเป็นโดยการแนะนำสิ่งเจือปนของผู้บริจาค (แบบ n) หรือตัวรับ (p-type) สมมติว่าเราต้องสร้างขอบเขตความเข้มข้นของพาหะชนิด p ในซิลิกอน นั่นคือ แถบการนำรู สำหรับสิ่งนี้จานจะถูกประมวลผลโดยใช้อุปกรณ์ที่เรียกว่า รากเทียม- โบรอนไอออนที่มีพลังงานมหาศาลถูกยิงจากเครื่องเร่งไฟฟ้าแรงสูง และกระจายอย่างสม่ำเสมอในบริเวณที่ไม่มีการป้องกันซึ่งเกิดขึ้นจากโฟโตลิโทกราฟี

ในกรณีที่เอาอิเล็กทริกออก ไอออนจะแทรกซึมเข้าไปในชั้นซิลิกอนที่ไม่มีการป้องกัน มิฉะนั้น จะ "ติดค้าง" ในไดอิเล็กตริก หลังจากขั้นตอนการแกะสลักครั้งต่อไป เศษของอิเล็กทริกจะถูกลบออก และโซนยังคงอยู่บนจานที่มีโบรอนอยู่ในพื้นที่ เป็นที่ชัดเจนว่าโปรเซสเซอร์สมัยใหม่สามารถมีได้หลายชั้น - ในกรณีนี้ชั้นอิเล็กทริกจะเติบโตอีกครั้งในรูปผลลัพธ์แล้วทุกอย่างจะไปตามเส้นทางที่ถูกเหยียบย่ำ - อีกชั้นหนึ่งของ photoresist กระบวนการของ photolithography (ใช้หน้ากากใหม่แล้ว ), การแกะสลัก, การฝัง ... เข้าใจแล้ว

ขนาดที่เป็นลักษณะเฉพาะของทรานซิสเตอร์ตอนนี้คือ 32 นาโนเมตร และความยาวคลื่นที่ซิลิคอนถูกประมวลผลนั้นไม่ใช่แม้แต่แสงธรรมดา แต่เป็นเลเซอร์เอ็กซ์ไซเมอร์อัลตราไวโอเลตพิเศษ - 193 นาโนเมตร อย่างไรก็ตาม กฎของทัศนศาสตร์ไม่อนุญาตให้แก้ไขวัตถุสองชิ้นที่อยู่ในระยะน้อยกว่าครึ่งของความยาวคลื่น นี่เป็นเพราะการเลี้ยวเบนของแสง จะเป็นอย่างไร? เพื่อใช้กลอุบายต่างๆ - ตัวอย่างเช่น นอกเหนือจากเลเซอร์ excimer ดังกล่าว ซึ่งส่องไกลในสเปกตรัมอัลตราไวโอเลต photolithography สมัยใหม่ใช้เลนส์สะท้อนแสงหลายชั้นโดยใช้มาสก์พิเศษและกระบวนการ photolithography จุ่ม (แช่) พิเศษ

องค์ประกอบลอจิกที่เกิดขึ้นในกระบวนการ photolithography จะต้องเชื่อมต่อกัน ในการทำเช่นนี้เพลตจะถูกวางไว้ในสารละลายของคอปเปอร์ซัลเฟตซึ่งภายใต้การกระทำของกระแสไฟฟ้าอะตอมของโลหะจะ "ตกลง" ใน "ทางเดิน" ที่เหลือ - อันเป็นผลมาจากกระบวนการกัลวานิกนี้ทำให้เกิดพื้นที่ ที่สร้างการเชื่อมต่อระหว่างแต่ละส่วนของโปรเซสเซอร์ "ลอจิก" การเคลือบที่เป็นสื่อกระแสไฟฟ้าส่วนเกินจะถูกลบออกโดยการขัดเงา

บ้านยืด

ไชโย - ส่วนที่ยากที่สุดอยู่เบื้องหลัง มันยังคงเป็นวิธีที่ยุ่งยากในการเชื่อมต่อ "เศษ" ของทรานซิสเตอร์ - หลักการและลำดับของการเชื่อมต่อ (บัส) เหล่านี้เรียกว่าสถาปัตยกรรมโปรเซสเซอร์ การเชื่อมต่อเหล่านี้แตกต่างกันไปสำหรับโปรเซสเซอร์แต่ละตัว - แม้ว่าวงจรจะดูเหมือนแบนทั้งหมด ในบางกรณี "สาย" ดังกล่าวสามารถใช้ได้ถึง 30 ระดับ จากระยะไกล (ด้วยกำลังขยายที่สูงมาก) ทุกอย่างดูเหมือนทางแยกถนนแห่งอนาคต และท้ายที่สุด มีคนกำลังออกแบบความยุ่งเหยิงเหล่านี้!

เมื่อการประมวลผลของเวเฟอร์เสร็จสิ้น เวเฟอร์จะถูกถ่ายโอนจากการผลิตไปยังการประกอบและการประชุมเชิงปฏิบัติการการทดสอบ ที่นั่น คริสตัลได้รับการทดสอบครั้งแรก และคริสตัลที่ผ่านการทดสอบ (และนี่คือส่วนใหญ่อย่างท่วมท้น) ถูกตัดออกจากพื้นผิวด้วยอุปกรณ์พิเศษ

ในขั้นตอนต่อไป โปรเซสเซอร์จะถูกบรรจุลงในสารตั้งต้น (ในรูป - โปรเซสเซอร์ Intel Core i5 ซึ่งประกอบด้วย CPU และชิปกราฟิก HD)

สวัสดีซ็อกเก็ต!

ซับสเตรต ดาย และฝาครอบการถ่ายเทความร้อนเชื่อมต่อเข้าด้วยกัน - นี่คือผลิตภัณฑ์ที่เราจะหมายถึงเมื่อเราพูดถึงคำว่า "โปรเซสเซอร์" พื้นผิวสีเขียวสร้างส่วนต่อประสานทางไฟฟ้าและทางกล (ทองคำใช้เชื่อมต่อไมโครซิลิกอนกับเคสด้วยไฟฟ้า) ด้วยวิธีนี้จึงเป็นไปได้ที่จะติดตั้งโปรเซสเซอร์ในซ็อกเก็ตมาเธอร์บอร์ด - อันที่จริงนี่เป็นเพียงแพลตฟอร์มที่ หน้าสัมผัสจากชิปขนาดเล็กมีสาย ฝาครอบกระจายความร้อนเป็นส่วนต่อประสานในการระบายความร้อนที่ทำให้โปรเซสเซอร์เย็นลงระหว่างการทำงาน - สำหรับฝาครอบนี้ที่ระบบทำความเย็นจะอยู่ติดกัน ไม่ว่าจะเป็นหม้อน้ำที่เย็นกว่าหรือบล็อกน้ำที่ดีต่อสุขภาพ

เบ้า(ขั้วต่อโปรเซสเซอร์กลาง) - ขั้วต่อซ็อกเก็ตหรือสล็อตที่ออกแบบมาสำหรับการติดตั้งโปรเซสเซอร์กลาง การใช้ตัวเชื่อมต่อแทนการเลิกขายโปรเซสเซอร์โดยตรงบนเมนบอร์ดทำให้ง่ายต่อการเปลี่ยนโปรเซสเซอร์เพื่ออัพเกรดหรือซ่อมแซมคอมพิวเตอร์ของคุณ ตัวเชื่อมต่อสามารถออกแบบให้ติดตั้งโปรเซสเซอร์หรือการ์ด CPU จริง (เช่น ใน Pegasos) แต่ละช่องอนุญาตให้ติดตั้งเฉพาะโปรเซสเซอร์หรือการ์ด CPU บางประเภทเท่านั้น

ในขั้นตอนสุดท้ายของการผลิต โปรเซสเซอร์ที่เสร็จสิ้นแล้วจะผ่านการทดสอบขั้นสุดท้ายเพื่อให้สอดคล้องกับคุณสมบัติหลัก - หากทุกอย่างเป็นไปตามลำดับ โปรเซสเซอร์จะถูกจัดเรียงตามลำดับที่ถูกต้องลงในถาดพิเศษ - ในแบบฟอร์มนี้ โปรเซสเซอร์จะไปที่ผู้ผลิตหรือ ไปที่การขาย OEM อีกชุดหนึ่งจะวางจำหน่ายในรูปแบบ BOX - ในกล่องที่สวยงามพร้อมกับระบบทำความเย็นแบบสต็อก

ตอนจบ

ตอนนี้ลองนึกภาพว่าบริษัทกำลังเปิดตัวโปรเซสเซอร์ใหม่ 20 ตัว พวกเขาทั้งหมดแตกต่างกัน - จำนวนคอร์, ขนาดแคช, เทคโนโลยีที่รองรับ ... โปรเซสเซอร์แต่ละรุ่นใช้ทรานซิสเตอร์จำนวนหนึ่ง (คำนวณเป็นล้านหรือพันล้าน) หลักการในการเชื่อมต่อองค์ประกอบ ... และทั้งหมด สิ่งนี้จะต้องออกแบบและสร้าง / อัตโนมัติ - แม่แบบ, เลนส์, ภาพพิมพ์หิน, พารามิเตอร์หลายร้อยรายการสำหรับแต่ละกระบวนการ, การทดสอบ ... และทั้งหมดนี้ควรทำงานตลอดเวลาที่โรงงานหลายแห่งพร้อมกัน ... เป็นผลให้อุปกรณ์ควรปรากฏขึ้น ที่ไม่มีที่ว่างสำหรับข้อผิดพลาดในการทำงาน ... และต้นทุนของผลงานชิ้นเอกทางเทคโนโลยีเหล่านี้ควรอยู่ในขอบเขตที่เหมาะสม ... ฉันเกือบจะแน่ใจว่าคุณเช่นฉันเองก็ไม่สามารถจินตนาการถึงปริมาณงานทั้งหมดได้ เสร็จแล้วซึ่งฉันพยายามจะบอกคุณเกี่ยวกับวันนี้

และสิ่งที่น่าประหลาดใจกว่านั้น ลองนึกภาพว่าคุณเป็นนักวิทยาศาสตร์ที่ยอดเยี่ยมเมื่อห้านาทีก่อน - คุณถอดฝาครอบกระจายความร้อนของโปรเซสเซอร์ออกอย่างระมัดระวัง และผ่านกล้องจุลทรรศน์ขนาดใหญ่ คุณจะเห็นโครงสร้างของโปรเซสเซอร์ - การเชื่อมต่อทั้งหมดเหล่านี้ ทรานซิสเตอร์ ... แม้แต่ร่างบางอย่างบน แผ่นกระดาษเพื่อไม่ให้ลืม คุณคิดว่าการศึกษาหลักการของโปรเซสเซอร์ทำได้ง่ายหรือไม่ โดยมีเพียงข้อมูลและข้อมูลเกี่ยวกับงานที่สามารถแก้ไขได้ด้วยความช่วยเหลือของโปรเซสเซอร์นี้ สำหรับฉันแล้วดูเหมือนว่านักวิทยาศาสตร์ที่กำลังพยายามศึกษาการทำงานของสมองมนุษย์ในระดับใกล้เคียงกันจะมองเห็นได้ใกล้เคียงกัน เฉพาะในกรณีที่คุณเชื่อนักจุลชีววิทยาของสแตนฟอร์ด ในสมองมนุษย์เพียงตัวเดียว

เมื่อเร็ว ๆ นี้ที่พิพิธภัณฑ์โปลีเทคนิคมอสโกเทคโนโลยีคอมพิวเตอร์ได้รับการปรับปรุงอย่างจริงจัง - Intel ได้วางจุดยืนไว้ที่นั่นซึ่งมีชื่อว่า " จากทรายสู่ซีพียู"จากนี้ไป ขาตั้งนี้จะกลายเป็นส่วนสำคัญของการเดินทางไปโรงเรียน แต่ฉันแนะนำว่าผู้ใหญ่อย่าเลื่อนการเยี่ยมชมสถาบันนานกว่าห้าปี - ภายในปี 2016 Intel วางแผนที่จะอย่างจริงจัง" อัปเกรด "พิพิธภัณฑ์เพื่อให้สามารถเข้าสู่พิพิธภัณฑ์ได้ พิพิธภัณฑ์วิทยาศาสตร์ 10 อันดับแรกของโลก!

การบรรยายแบบสามส่วนในชื่อเดียวกันได้กำหนดเวลาให้ตรงกับเหตุการณ์นี้ การบรรยายสองครั้งผ่านไปแล้ว - คุณสามารถค้นหาเนื้อหาของพวกเขาได้ภายใต้การตัด ถ้าคุณสนใจทั้งหมดนี้ คุณจะยังมีเวลาเข้าร่วมการบรรยายครั้งที่สาม ข้อมูลที่อยู่ท้ายโพสต์

ฉันไม่ละอายที่จะยอมรับว่าข้อความนี้ส่วนใหญ่เป็นบทสรุปของการบรรยายครั้งแรกที่ Nikolay Suetin, ผู้อำนวยการโครงการภายนอกด้านการวิจัยและพัฒนาของอินเทลในรัสเซีย ส่วนใหญ่เกี่ยวกับเทคโนโลยีเซมิคอนดักเตอร์สมัยใหม่และปัญหาที่พวกเขาเผชิญ

ฉันเสนอให้เริ่มอ่านสิ่งที่น่าสนใจและเราจะเริ่มต้นด้วยพื้นฐาน

ซีพียู

ในทางเทคนิคแล้ว ไมโครโปรเซสเซอร์ที่ทันสมัยถูกสร้างขึ้นในรูปแบบของวงจรรวมขนาดใหญ่พิเศษเพียงวงจรเดียว ซึ่งประกอบด้วยองค์ประกอบหลายพันล้านชิ้น นี่เป็นหนึ่งในโครงสร้างที่ซับซ้อนที่สุดที่มนุษย์สร้างขึ้น องค์ประกอบหลักของไมโครโปรเซสเซอร์คือสวิตช์แยก - ทรานซิสเตอร์ โดยการบล็อกและส่งกระแสไฟ (เปิด-ปิด) ทำให้วงจรลอจิกของคอมพิวเตอร์ทำงานในสองสถานะ นั่นคือ ในระบบเลขฐานสอง ขนาดของทรานซิสเตอร์วัดเป็นนาโนเมตร หนึ่งนาโนเมตร (นาโนเมตร) เท่ากับหนึ่งในพันล้าน (10-9) ของเมตร
งานหลักในการสร้างโปรเซสเซอร์ไม่ได้ทำโดยคนเลย แต่โดยกลไกของหุ่นยนต์ - เป็นผู้ที่นำแผ่นเวเฟอร์ซิลิคอนไปมา รอบการผลิตสำหรับแต่ละแผ่นอาจนานถึง 2-3 เดือน

ฉันจะบอกคุณในรายละเอียดเพิ่มเติม (และชัดเจน) เกี่ยวกับเทคโนโลยีของโปรเซสเซอร์การผลิต แต่ตอนนี้ค่อนข้างสั้น

แผ่นเปลือกโลกทำมาจากทราย - ซิลิกอนเป็นอันดับสองรองจากออกซิเจนในแง่ของความอุดมสมบูรณ์ในเปลือกโลก ด้วยปฏิกิริยาเคมี ซิลิกอนออกไซด์ (SiO 2) จะถูกทำให้บริสุทธิ์อย่างทั่วถึง ทำให้ "สกปรก" บริสุทธิ์ สำหรับไมโครอิเล็กทรอนิกส์จำเป็นต้องมีซิลิกอนโมโนคริสตัลไลน์ซึ่งได้มาจากการหลอม ทุกอย่างเริ่มต้นด้วยคริสตัลขนาดเล็ก (ซึ่งจุ่มลงในละลาย) - ต่อมากลายเป็น "ลูกเปตอง" โมโนคริสตัลไลน์พิเศษที่สูงเท่ากับมนุษย์ นอกจากนี้ ข้อบกพร่องหลักจะถูกลบออกและลูกเปตองถูกตัดเป็นแผ่นด้วยเกลียวพิเศษ (ด้วยผงเพชร) - แผ่นดิสก์แต่ละแผ่นได้รับการประมวลผลอย่างระมัดระวังเพื่อให้พื้นผิวเรียบ (ที่ระดับอะตอม) อย่างแน่นอน ความหนาของแผ่นแต่ละแผ่นประมาณ 1 มม. - เพื่อไม่ให้แตกหรือโค้งงอเท่านั้น เพื่อให้คุณใช้งานได้อย่างสะดวกสบาย

เส้นผ่านศูนย์กลางของเพลตแต่ละแผ่นคือ 300 มม. - ต่อมาเล็กน้อย โปรเซสเซอร์หลายร้อยหรือหลายพันตัวจะ "เติบโต" ในบริเวณนี้ อย่างไรก็ตาม Intel, Samsung, Toshiba และ TSMC ได้ประกาศไปแล้วว่าพวกเขากำลังพัฒนาอุปกรณ์ที่สามารถทำงานกับเพลตขนาด 450 มม. (โปรเซสเซอร์จำนวนมากขึ้นจะพอดีกับพื้นที่ที่ใหญ่ขึ้นซึ่งหมายความว่าราคาของแต่ละรายการจะลดลง) - การเปลี่ยนไปใช้ มีการวางแผนไว้แล้วภายในปี 2555

นี่คือภาพตัดขวางของโปรเซสเซอร์:

ด้านบนมีฝาครอบโลหะป้องกัน ซึ่งนอกจากฟังก์ชันป้องกันแล้ว ยังทำหน้าที่เป็นตัวกระจายความร้อน - นี่คือสิ่งที่เราทาด้วยแผ่นระบายความร้อนอย่างอิสระเมื่อเราติดตั้งตัวทำความเย็น ใต้แผ่นกระจายความร้อนเป็นซิลิกอนชิ้นเดียวที่ทำหน้าที่ทุกอย่างของผู้ใช้ ยิ่งกว่านั้นก็คือพื้นผิวพิเศษ ซึ่งจำเป็นสำหรับพินเอาต์ (และเพื่อเพิ่มพื้นที่ของ "ขา") เพื่อให้สามารถติดตั้งโปรเซสเซอร์ในซ็อกเก็ตของเมนบอร์ดได้

ตัวชิปประกอบด้วยซิลิกอนซึ่งมีชั้นเคลือบโลหะมากถึง 9 ชั้น (ทำจากทองแดง) - จำเป็นต้องมีหลายระดับเพื่อให้เป็นไปตามกฎหมายบางประการจึงเป็นไปได้ที่จะเชื่อมต่อทรานซิสเตอร์ที่อยู่บนพื้นผิวซิลิกอน (ตั้งแต่ มันเป็นไปไม่ได้เลยที่จะทำทั้งหมดนี้ในระดับเดียวกัน) โดยพื้นฐานแล้ว เลเยอร์เหล่านี้ทำหน้าที่เป็นสายเชื่อมต่อในขนาดที่เล็กกว่ามากเท่านั้น เพื่อไม่ให้ "สายไฟ" ลัดวงจรพวกมันจะถูกคั่นด้วยชั้นของออกไซด์ (ที่มีค่าคงที่ไดอิเล็กตริกต่ำ)

ตามที่ฉันเขียนไว้ข้างต้น เซลล์พื้นฐานของโปรเซสเซอร์คือทรานซิสเตอร์แบบ field-effect ผลิตภัณฑ์เซมิคอนดักเตอร์แรกทำจากเจอร์เมเนียมและทรานซิสเตอร์ตัวแรกทำจากเจอร์เมเนียม แต่ทันทีที่พวกเขาเริ่มสร้างทรานซิสเตอร์แบบ field-effect (ใต้ประตูซึ่งมีชั้นฉนวนพิเศษ - ฟิล์มอิเล็กทริกบาง ๆ ที่ควบคุม "เปิด" และ "ปิด" ของทรานซิสเตอร์) เจอร์เมเนียมก็ "ตาย" ทันที , หลีกทางให้ซิลิกอน. ในช่วง 40 ปีที่ผ่านมา ซิลิคอนไดออกไซด์ (SiO 2) ถูกใช้เป็นวัสดุหลักสำหรับเกตไดอิเล็กตริก ซึ่งเป็นผลมาจากความสามารถในการผลิตและความเป็นไปได้ในการปรับปรุงคุณสมบัติของทรานซิสเตอร์อย่างเป็นระบบเมื่อขนาดลดลง

กฎมาตราส่วนนั้นง่าย - โดยการลดขนาดของทรานซิสเตอร์ ความหนาของอิเล็กทริกควรลดลงตามสัดส่วน ตัวอย่างเช่น ในชิปที่มีกระบวนการทางเทคนิค 65 นาโนเมตร ความหนาของชั้นอิเล็กทริกเกต SiO2 อยู่ที่ประมาณ 1.2 นาโนเมตร ซึ่งเทียบเท่ากับชั้นอะตอมห้าชั้น อันที่จริงนี่เป็นข้อ จำกัด ทางกายภาพสำหรับวัสดุที่กำหนดเนื่องจากเป็นผลมาจากการลดลงของตัวทรานซิสเตอร์เอง (และด้วยเหตุนี้การลดลงของชั้นซิลิกอนไดออกไซด์) กระแสไฟรั่วผ่านเกตอิเล็กทริกเพิ่มขึ้นอย่างมากซึ่งนำไปสู่ การสูญเสียกระแสที่สำคัญและการปล่อยความร้อนมากเกินไป ในกรณีนี้ ชั้นของซิลิกอนไดออกไซด์จะหยุดเป็นอุปสรรคต่อการขุดอุโมงค์ควอนตัมของอิเล็กตรอน ซึ่งทำให้ไม่สามารถควบคุมสถานะของทรานซิสเตอร์ได้ ดังนั้นถึงแม้จะมีการผลิตในอุดมคติของทรานซิสเตอร์ทั้งหมด (จำนวนในโปรเซสเซอร์ที่ทันสมัยถึงหลายพันล้าน) การทำงานที่ไม่ถูกต้องอย่างน้อยหนึ่งตัวหมายถึง ผิดงานตรรกะทั้งหมดของโปรเซสเซอร์ ซึ่งสามารถนำไปสู่ภัยพิบัติได้อย่างง่ายดาย นี่คือเมื่อคุณพิจารณาว่าไมโครโปรเซสเซอร์ควบคุมการทำงานของอุปกรณ์ดิจิทัลเกือบทั้งหมด (ตั้งแต่โทรศัพท์มือถือสมัยใหม่ไปจนถึงระบบเชื้อเพลิงในรถยนต์)

กระบวนการย่อขนาดทรานซิสเตอร์ไม่ได้ขัดต่อกฎฟิสิกส์ แต่ความคืบหน้าของคอมพิวเตอร์ดังที่เราเห็นไม่ได้หยุดลง ซึ่งหมายความว่าปัญหาของอิเล็กทริกได้รับการแก้ไขอย่างใด และท้ายที่สุด พวกเขาตัดสินใจจริง ๆ - เมื่อเปลี่ยนไปใช้ 45nm Intel เริ่มใช้วัสดุใหม่ที่เรียกว่าไดอิเล็กตริกสูงซึ่งแทนที่ชั้นบาง ๆ ของซิลิกอนไดออกไซด์ที่สิ้นหวัง ชั้นที่อยู่บนพื้นฐานของออกไซด์ของแฮฟเนียมโลหะแรร์เอิร์ธที่มีค่าคงที่ไดอิเล็กตริกสูง (20 เทียบกับ 4 สำหรับ SiO2) k (high-k) หนาขึ้น แต่สิ่งนี้ทำให้สามารถลดกระแสไฟรั่วได้มากกว่าสิบเท่า ในขณะที่ รักษาความสามารถในการควบคุมการทำงานของทรานซิสเตอร์ได้อย่างถูกต้องและเสถียร อิเล็กทริกใหม่กลายเป็นเข้ากันได้ไม่ดีกับประตูโพลีซิลิคอน แต่สิ่งนี้ไม่ได้กลายเป็นอุปสรรค - เพื่อเพิ่มความเร็วเกทในทรานซิสเตอร์ใหม่นั้นทำจากโลหะ

ดังนั้น Intel จึงกลายเป็นบริษัทแรกในโลกที่ก้าวไปสู่การผลิตไมโครโปรเซสเซอร์จำนวนมากโดยใช้แฮฟเนียม นอกจากนี้ มงกุฎยังคงเป็นของบริษัท - จนถึงขณะนี้ยังไม่มีใครสามารถทำซ้ำเทคโนโลยีนี้ได้เพราะ ฟิล์มอิเล็กทริกถูกสร้างขึ้นโดยการสปัตเตอร์ของอะตอมและวัสดุจะถูกสะสมในชั้นต่อเนื่องที่มีความหนาเพียงอะตอมเดียวเท่านั้น
น่าสนใจ หลังจากอ่านย่อหน้าเหล่านี้แล้ว คุณคงนึกออกว่าทรานซิสเตอร์หลายพันล้านตัวได้รับการออกแบบ ผลิต และจัดวางให้พอดีกับพื้นที่ขนาดเล็กเช่นนี้ได้อย่างไร และมันทำงานอย่างไรในท้ายที่สุดและในขณะเดียวกันก็ใช้เงินพอสมควร? ฉันครุ่นคิดมากแม้ว่าก่อนหน้านี้ฉันพิจารณาทั้งหมดนี้อย่างชัดเจนและฉันก็มีมโนธรรมที่จะคิด " เฮ้ย ทำไมมันแพงจัง สำหรับโปรเซสเซอร์เดียวเท่านั้น!»:)

ในปี 1965 Gordon Moore หนึ่งในผู้ก่อตั้ง Intel Corporation บันทึกข้อสังเกตเชิงประจักษ์ซึ่งต่อมาได้กลายเป็นกฎหมายที่มีชื่อเสียงในชื่อของเขา หลังจากนำเสนอในรูปแบบของกราฟที่เพิ่มประสิทธิภาพของไมโครเซอร์กิตหน่วยความจำ เขาค้นพบรูปแบบที่น่าสนใจ: ไมโครเซอร์กิตรุ่นใหม่ได้รับการพัฒนาหลังจากช่วงเวลาเท่ากัน - ประมาณ 18-24 เดือน - หลังจากการปรากฏตัวของรุ่นก่อนและ ความจุของไมโครเซอร์กิตในเวลาเดียวกันเพิ่มขึ้นประมาณสองครั้งในแต่ละครั้ง

ต่อมา กอร์ดอน มัวร์ ทำนายรูปแบบ โดยบอกว่าจำนวนทรานซิสเตอร์ในไมโครโปรเซสเซอร์จะเพิ่มขึ้นเป็นสองเท่าทุก ๆ สองปี อันที่จริงแล้ว โดยการสร้างเทคโนโลยีที่เป็นนวัตกรรมใหม่อย่างต่อเนื่อง บริษัท Intel Corporation ได้บังคับใช้กฎของมัวร์มานานกว่า 40 ปี

จำนวนทรานซิสเตอร์ยังคงเพิ่มขึ้น แม้ว่าขนาดของโปรเซสเซอร์เอาท์พุตจะยังคงไม่เปลี่ยนแปลง อีกครั้งไม่มีความลับ - สิ่งนี้ชัดเจนหากคุณดูการพึ่งพาต่อไปนี้

อย่างที่คุณเห็น มิติทอพอโลยีจะลดลง 0.7 เท่าทุกๆ สองปี อันเป็นผลมาจากการลดขนาดของทรานซิสเตอร์ ความเร็วในการสวิตชิ่งจะสูงขึ้น ราคาก็ต่ำลง และการใช้พลังงานก็น้อยลง

บน ช่วงเวลานี้ Intel ผลิตโปรเซสเซอร์ 32nm ความแตกต่างทางเทคนิคที่สำคัญจากเทคโนโลยี 45nm:
- ใช้การเคลือบโลหะ 9 ระดับ
- ใช้ไดอิเล็กตริกไฮเครุ่นใหม่ (เช่น แฮฟเนียมออกไซด์ แต่มีสารเติมแต่งพิเศษ - ชั้นที่ได้จะเท่ากับ 0.9 นาโนเมตร ซิลิกอนออกไซด์)

การสร้างกระบวนการทางเทคโนโลยีใหม่สำหรับการสร้างประตูโลหะทำให้ประสิทธิภาพของทรานซิสเตอร์ทั้งหมดเพิ่มขึ้น 22% (เทียบกับ 45nm) รวมถึงความหนาแน่นสูงสุดขององค์ประกอบซึ่งต้องการความหนาแน่นกระแสสูงสุด

การผลิต

Intel ผลิตโปรเซสเซอร์ในสามประเทศ - สหรัฐอเมริกา อิสราเอล และไอร์แลนด์ ปัจจุบันบริษัทมีโรงงาน 4 แห่งสำหรับการผลิตโปรเซสเซอร์จำนวนมากโดยใช้เทคโนโลยี 32nm มัน: D1Dและ D1Cในโอเรกอน Fab 32ในรัฐแอริโซนาและ Fab 11Xในนิวเม็กซิโก มีสิ่งที่น่าสนใจมากมายในโครงสร้างของโรงงานเหล่านี้และในงานของพวกเขา แต่ฉันจะบอกคุณเกี่ยวกับเรื่องนี้ในครั้งต่อไป

ค่าใช้จ่ายของโรงงานดังกล่าวอยู่ที่ประมาณ 5 พันล้านดอลลาร์ และหากคุณสร้างโรงงานหลายโรงงานในคราวเดียว จำนวนเงินลงทุนก็สามารถคูณได้อย่างปลอดภัย เมื่อพิจารณาว่าเทคโนโลยีมีการเปลี่ยนแปลงทุก ๆ สองปี ปรากฎว่าโรงงานมีเวลา 4 ปีในการ "ชดใช้" เงินลงทุน 5 พันล้านดอลลาร์ในโรงงานและทำกำไร จากข้อสรุปที่ชัดเจนแนะนำตัวเอง - เศรษฐกิจเป็นตัวกำหนดการพัฒนาความก้าวหน้าทางเทคนิคอย่างมาก ... แต่ถึงแม้จะมีตัวเลขมหาศาลเหล่านี้ แต่ต้นทุนในการผลิตทรานซิสเตอร์ตัวหนึ่งยังคงลดลง - ตอนนี้น้อยกว่าหนึ่งพันล้านดอลลาร์

อย่าคิดว่าเมื่อโรงงานหลายแห่งเปลี่ยนไปเป็น 32nm ทุกอย่างจะเริ่มผลิตตามกระบวนการทางเทคนิคนี้ในทันใด - ชิปเซ็ตเดียวกันและวงจรต่อพ่วงอื่น ๆ ไม่ต้องการสิ่งนี้ - ในกรณีส่วนใหญ่พวกเขาใช้ 45nm เครื่องตัด 22 นาโนเมตรมีกำหนดจะเสร็จสมบูรณ์ในปีหน้า และภายในปี 2556 น่าจะเป็น 16 นาโนเมตร อย่างน้อยก็ในปีนี้ แผ่นทดสอบ (ที่ 22 นาโนเมตร) ได้ถูกสร้างขึ้นแล้ว ซึ่งแสดงให้เห็นถึงความสามารถในการทำงานขององค์ประกอบทั้งหมดที่จำเป็นสำหรับการทำงานของโปรเซสเซอร์

* UPD จาก * ความจำเป็นในการลดความหนาของเกตไดอิเล็กตริกถูกกำหนดโดยสูตรง่ายๆ สำหรับตัวเก็บประจุแบบแบน:

พื้นที่เกตของทรานซิสเตอร์ลดลงและเพื่อให้ทรานซิสเตอร์ทำงานได้จะต้องรักษาความจุของเกตอิเล็กทริกไว้
ดังนั้นจึงจำเป็นต้องลดความหนาลง และเมื่อเป็นไปไม่ได้ ก็พบวัสดุที่มีค่าคงที่ไดอิเล็กตริกสูงกว่า

ยุคของซิลิคอนจะสิ้นสุดเมื่อใด ยังไม่ทราบวันที่แน่นอน แต่ไม่ไกลแน่นอน ในเทคโนโลยี 22nm มันจะ "ต่อสู้" อย่างแน่นอน โดยส่วนใหญ่จะยังคงอยู่ใน 16nm ... แต่ส่วนที่น่าสนใจที่สุดก็จะเริ่มต้นขึ้น โดยหลักการแล้วตารางธาตุมีขนาดใหญ่พอและมีให้เลือกมากมาย) แต่ส่วนใหญ่แล้วทุกอย่างจะไม่ใช่แค่เรื่องเคมีเท่านั้น การเพิ่มประสิทธิภาพของโปรเซสเซอร์สามารถทำได้โดยการลดขนาดโทโพโลยี (ตอนนี้กำลังทำสิ่งนี้อยู่) หรือโดยการใช้สารประกอบอื่นๆ ที่มีการเคลื่อนที่ของตัวพาที่สูงขึ้น บางทีอาจเป็นแกลเลียม อาร์เซไนด์ อาจเป็นกราฟีนที่ "น่าสัมผัส" และมีแนวโน้มว่าจะเป็นเช่นนั้น ความคล่องตัวสูงกว่าซิลิกอนหลายร้อยเท่า) แต่มีปัญหาที่นี่เช่นกัน ขณะนี้เทคโนโลยีได้รับการออกแบบสำหรับการประมวลผลเพลตที่มีเส้นผ่านศูนย์กลาง 300 มม. - ปริมาณแกลเลียมอาร์เซไนด์ที่จำเป็นสำหรับเพลตดังกล่าวไม่มีอยู่ในธรรมชาติ และกราฟีน (คำพูดแนะนำอย่างต่อเนื่องว่าเขียน "ขวดเหล้า") ยังคงเป็นเรื่องยากมากที่จะทำสิ่งนี้ ขนาด - พวกเขาเรียนรู้วิธีการทำ แต่มีข้อบกพร่องมากมาย ปัญหาในการทำซ้ำ การผสม ฯลฯ

เป็นไปได้มากว่าขั้นตอนต่อไปคือการสะสมของ monocrystalline gallium arsenide บนซิลิกอนและกราฟีน และบางทีการพัฒนาไมโครอิเล็กทรอนิกส์จะไม่เพียงไปตามเส้นทางของการปรับปรุงเทคโนโลยีเท่านั้น แต่ยังรวมถึงเส้นทางของการพัฒนาตรรกะพื้นฐานใหม่ด้วย - สิ่งนี้ก็เช่นกันไม่สามารถตัดออกได้ เราจะวางเดิมพันของเราสุภาพบุรุษ? ;)

โดยทั่วไปแล้วขณะนี้มีการต่อสู้เพื่อเทคโนโลยีและความคล่องตัวสูง แต่มีสิ่งหนึ่งที่ชัดเจน - ไม่มีเหตุผลที่จะหยุดความก้าวหน้า

ติ๊กต๊อก

กระบวนการผลิตสำหรับโปรเซสเซอร์ประกอบด้วย "ชิ้นส่วน" ขนาดใหญ่สองส่วน สำหรับครั้งแรก คุณต้องมีเทคโนโลยีการผลิตเอง และสำหรับวินาทีที่คุณต้องเข้าใจว่าจะผลิตอะไรและอย่างไร - สถาปัตยกรรม (วิธีเชื่อมต่อทรานซิสเตอร์) หากทั้งสถาปัตยกรรมใหม่และเทคโนโลยีใหม่ถูกสร้างขึ้นในเวลาเดียวกันในกรณีที่เกิดความล้มเหลวจะเป็นการยากที่จะหา "ความผิด" - บางคนอาจบอกว่า "สถาปนิก" จะต้องถูกตำหนิ ส่วนอื่น ๆ ที่นักเทคโนโลยีต้องรับผิดชอบ ตำหนิ. โดยทั่วไปแล้ว การทำตามกลยุทธ์นี้เป็นเรื่องที่สายตาสั้นมาก

ที่ Intel การแนะนำเทคโนโลยีและสถาปัตยกรรมใหม่นั้นเว้นระยะ - เทคโนโลยีเปิดตัวในหนึ่งปี (และสถาปัตยกรรมที่พัฒนาแล้วนั้นผลิตขึ้นโดยใช้เทคโนโลยีใหม่ - หากมีบางอย่าง "ผิดพลาด" นักเทคโนโลยีจะต้อง ตำหนิ); และเมื่อเทคโนโลยีใหม่เสร็จสิ้น สถาปนิกจะสร้างสถาปัตยกรรมใหม่ขึ้นมา และถ้าบางอย่างใช้ไม่ได้กับเทคโนโลยีที่ได้ผล สถาปนิกจะต้องถูกตำหนิ กลยุทธ์นี้เรียกว่า "ติ๊กต๊อก"
ชัดเจนกว่านี้:

ด้วยความเร็วของการพัฒนาเทคโนโลยีในปัจจุบัน จำเป็นต้องมีการลงทุนจำนวนมากในการวิจัยและพัฒนา - ทุกปี Intel ลงทุน 4-5 พันล้านดอลลาร์ในธุรกิจนี้ งานบางอย่างเกิดขึ้นภายในบริษัท แต่มีมาก - นอกนั้น แค่มีห้องปฏิบัติการทั้งห้องในบริษัทเช่น เบลล์แล็บ(ผู้ได้รับรางวัลโนเบล) ในสมัยของเราแทบจะเป็นไปไม่ได้เลย
ตามกฎแล้ว แนวคิดแรกจะถูกวางในมหาวิทยาลัย เพื่อให้มหาวิทยาลัยรู้ว่าสิ่งใดเหมาะสมที่จะทำงาน (เทคโนโลยีใดที่เป็นที่ต้องการและสิ่งที่จะเกี่ยวข้อง) "บริษัทเซมิคอนดักเตอร์" ทั้งหมดถูกรวมเป็นหนึ่งกลุ่ม หลังจากนั้นพวกเขาได้จัดทำแผนงานซึ่งพูดถึงปัญหาทั้งหมดที่อุตสาหกรรมเซมิคอนดักเตอร์จะเผชิญในอีก 3-5-7 ปีข้างหน้า ตามทฤษฎีแล้ว บริษัท ใด ๆ มีสิทธิ์ที่จะเข้ามหาวิทยาลัยอย่างแท้จริงและ "ใช้ประโยชน์" จากการพัฒนานวัตกรรมนี้หรือนั้น แต่สิทธิ์ของพวกเขาตามกฎยังคงอยู่กับมหาวิทยาลัยผู้พัฒนา - แนวทางนี้เรียกว่า "นวัตกรรมแบบเปิด" . Intel ก็ไม่มีข้อยกเว้นและรับฟังความคิดของนักเรียนเป็นระยะ - หลังจากการป้องกัน การคัดเลือกในระดับวิศวกรรม และการทดสอบในสภาพจริง แนวคิดนั้นมีโอกาสที่จะกลายเป็น เทคโนโลยีใหม่.

นี่คือรายชื่อศูนย์วิจัยทั่วโลกที่ Intel ทำงานด้วย (ไม่รวมมหาวิทยาลัย):

การเพิ่มผลผลิตทำให้ต้นทุนของโรงงานสูงขึ้น และนำไปสู่การคัดเลือกโดยธรรมชาติ ตัวอย่างเช่น ในการจ่ายเงินสำหรับตัวเองใน 4 ปี โรงงานแต่ละแห่งของ Intel ต้องผลิตเพลททำงานอย่างน้อย 100 แผ่นต่อชั่วโมง แต่ละจานมีชิปเป็นพันๆ ชิป ... และหากคุณทำการคำนวณ จะเห็นได้ชัดเจน - หาก Intel ไม่มีตลาดโปรเซสเซอร์โลกถึง 80% บริษัทก็คงไม่สามารถชดใช้ค่าใช้จ่ายได้ บทสรุป - การมีทั้ง "การออกแบบ" ของคุณเองและการผลิตในยุคของเรานั้นค่อนข้างแพง - อย่างน้อยคุณต้องมีตลาดขนาดใหญ่ ผลลัพธ์ของการคัดเลือกโดยธรรมชาติสามารถเห็นได้ด้านล่าง - อย่างที่คุณเห็น มีบริษัทน้อยลงเรื่อยๆ ที่ก้าวตามความก้าวหน้าทางเทคโนโลยีด้วย "การออกแบบ" และการผลิตของพวกเขา ทุกคนต้องเปลี่ยนไปใช้โหมด fabless ตัวอย่างเช่น Apple, NVIDIA และ AMD ต่างก็ไม่มีโรงงานของตัวเองและต้องใช้บริการของบริษัทอื่น

นอกจาก Intel แล้ว มีเพียงสองบริษัททั่วโลกเท่านั้นที่พร้อมสำหรับเทคโนโลยี 22nm นั่นคือ Samsung และ TSMC ซึ่งลงทุนมากกว่า 1 พันล้านดอลลาร์ในโรงงานของพวกเขาในปีที่แล้ว นอกจากนี้ TSMC ไม่มีแผนกออกแบบของตัวเอง (เฉพาะโรงหล่อ) - อันที่จริง เป็นเพียงโรงหล่อที่มีเทคโนโลยีสูงที่รับคำสั่งซื้อจากบริษัทอื่นและมักไม่รู้ด้วยซ้ำว่ากำลังปลอมแปลงอะไร

อย่างที่คุณเห็น การคัดเลือกโดยธรรมชาติผ่านไปอย่างรวดเร็วเพียงพอ - ในเวลาเพียง 3 ปี จากนี้ สามารถสรุปได้สองประการ ประการแรกคือ ไม่น่าเป็นไปได้ที่จะเป็นผู้นำอุตสาหกรรมโดยไม่มีโรงงานของคุณเอง ประการที่สอง - คุณสามารถประสบความสำเร็จได้โดยไม่มีโรงงานของคุณเอง พอโดยและขนาดใหญ่ คอมพิวเตอร์ที่ดีสมองและความสามารถในการ "วาด" - เกณฑ์ในการเข้าสู่ตลาดลดลงอย่างมากและด้วยเหตุนี้จึงมี "การเริ่มต้น" จำนวนมากปรากฏขึ้น มีคนคิดแผนการบางอย่างที่มีหรือสร้างตลาดขึ้นมา - ผู้ผลิตสามเณรเพิ่มขึ้น ... กำไร! แต่เกณฑ์สู่ตลาดโรงหล่อเพิ่มขึ้นอย่างมากและจะเติบโตต่อไป ...

มีอะไรเปลี่ยนแปลงอีกบ้างในช่วงไม่กี่ปีที่ผ่านมา? หากคุณจำได้ว่าจนถึงปี 2547 คำว่า "ยิ่งความถี่โปรเซสเซอร์สูงเท่าไรก็ยิ่งดี" เท่านั้น ตั้งแต่ปี 2547-2548 ความถี่ของโปรเซสเซอร์เกือบจะหยุดเติบโต ซึ่งเกี่ยวข้องกับการบรรลุข้อจำกัดทางกายภาพบางประเภท ตอนนี้คุณสามารถเพิ่มประสิทธิภาพได้เนื่องจากการทำงานแบบมัลติคอร์ - ทำงานคู่ขนานกัน แต่การสร้างคอร์จำนวนมากบนชิปตัวเดียวนั้นไม่ใช่ปัญหาใหญ่ - มันยากกว่ามากที่จะให้พวกมันทำงานอย่างถูกต้องภายใต้ภาระงาน ด้วยเหตุนี้ นับตั้งแต่นั้นเป็นต้นมา บทบาทของซอฟต์แวร์ก็เพิ่มขึ้นอย่างมาก และความสำคัญของอาชีพ "โปรแกรมเมอร์" จะได้รับแรงผลักดันในอนาคตอันใกล้นี้เท่านั้น

โดยทั่วไปสรุปข้างต้น:
- กฎของมัวร์ยังคงดำเนินอยู่
- ต้นทุนในการพัฒนาเทคโนโลยีและวัสดุใหม่ ๆ ตลอดจนต้นทุนการบำรุงรักษาโรงงานเพิ่มขึ้น
- ผลผลิตก็เพิ่มขึ้นเช่นกัน คาดว่าจะกระโดดเมื่อไปที่เม็ดมีด 450 มม.

ผลที่ตามมา:
- แบ่งบริษัทออกเป็น "นิทาน" และ "โรงหล่อ"
- Outsource หลัก R&D
- สร้างความแตกต่างด้วยการพัฒนาซอฟต์แวร์

ตอนจบ

มันน่าสนใจที่จะอ่าน? หวัง. อย่างน้อยที่สุด มันก็น่าสนใจสำหรับฉันที่จะเขียนทั้งหมดนี้และมันน่าสนใจยิ่งกว่าที่ได้ฟังมัน ... แม้ว่าในตอนแรกฉันก็คิดว่า "พวกเขาจะบอกอะไรในการบรรยายนี้"

เมื่อสัปดาห์ที่แล้ว มีการบรรยายครั้งที่ 2 ที่พิพิธภัณฑ์โปลีเทคนิคมอสโก ซึ่ง

สิ่งใหญ่ๆ เริ่มต้นจากสิ่งเล็กๆ คำกล่าวนี้เป็นความจริงสำหรับหลายๆ อย่าง แต่บทความนี้จะพูดถึงการผลิตไมโครโปรเซสเซอร์ ซึ่งอัดแน่นไปด้วยเครื่องใช้ในครัวเรือนต่างๆ รอบตัวคุณ ตั้งแต่สมาร์ทโฟนไปจนถึงตู้เย็น

การเตรียมวัตถุดิบ

ชิปคอมพิวเตอร์ที่มีโครงสร้างซับซ้อนที่สุด ซึ่งสามารถคำนวณได้ทันที ถือกำเนิดขึ้นในถ้วยใส่ตัวอย่างแก้วควอทซ์ขนาดใหญ่ซึ่งเต็มไปด้วยทรายซึ่งผ่านการทำความสะอาดหลายขั้นตอน

ประการแรก ซิลิกอน "ทางเทคนิค" ได้มาจากทรายที่เก็บรวบรวมในเหมืองหินบางแห่งโดยการเพิ่มคาร์บอนลงในแร่ที่อุณหภูมิสูง ซิลิกอนที่ได้จะมีความบริสุทธิ์ถึง 98% แต่ก็ยังไม่เหมาะกับอุตสาหกรรมอิเล็กทรอนิกส์โดยสิ้นเชิง และจำเป็นต้องมีการบำบัดคลอรีนเพิ่มเติมเพื่อให้กลายเป็น "ซิลิคอนอิเล็กทรอนิกส์" ในระหว่างการเกิดปฏิกิริยาเคมีกับคลอรีนเป็นหย่อม ๆ ซิลิกอนจะถูกสังเคราะห์ขึ้นใหม่อย่างแท้จริงเพื่อกำจัดสัญญาณสุดท้ายของสิ่งสกปรก

จากนั้นจะเป็นเบ้าหลอมที่มีซิลิกอนอิเล็กทรอนิกส์ที่บริสุทธิ์ที่สุดวางอยู่ในเตาหลอมที่ปิดสนิทซึ่งเต็มไปด้วยอาร์กอน แน่นอนว่ามันเป็นไปได้ที่จะอพยพอากาศออกจากมัน แต่การสร้างสุญญากาศในอุดมคติบนโลกนั้นยากมาก ถ้าไม่เป็นไปไม่ได้ และจากมุมมองทางเคมี อาร์กอนให้ผลเกือบเท่ากัน ก๊าซเฉื่อยนี้จะเข้ามาแทนที่ออกซิเจน ปกป้ององค์ประกอบจากการเกิดออกซิเดชัน และตัวมันเองไม่ทำปฏิกิริยากับซิลิกอนในเบ้าหลอมแต่อย่างใด

หลังจากนั้น ทรายเดิมจะร้อนขึ้นถึง 1420 องศาเซลเซียส ซึ่งสูงกว่าจุดหลอมเหลวเพียง 6 องศาเท่านั้น สำหรับสิ่งนี้จะใช้เครื่องทำความร้อนกราไฟท์ การเลือกใช้วัสดุ เช่น ในกรณีของเบ้าหลอมควอตซ์ เกิดจากการที่กราไฟท์ไม่ทำปฏิกิริยากับซิลิกอน ดังนั้นจึงไม่สามารถปนเปื้อนวัสดุของโปรเซสเซอร์ในอนาคตได้

ผลึกซิลิคอนเมล็ดบางๆ ขนาดและรูปทรงของดินสอ ถูกหย่อนลงในเบ้าหลอมที่อุ่น เขาต้องเริ่มกระบวนการตกผลึก ส่วนที่เหลือสามารถทำซ้ำได้ที่บ้านด้วยสารละลายเกลือ น้ำตาล กรดซิตริกหรือตัวอย่างเช่นคอปเปอร์ซัลเฟต สารละลายทำความเย็นเริ่มตกผลึกรอบๆ จุดเมล็ด ทำให้เกิดโครงข่ายโมเลกุลในอุดมคติ นี่คือวิธีที่ผลึกเกลือเติบโต และนี่คือวิธีที่ซิลิคอนเติบโต

คริสตัลเมล็ดซิลิกอนค่อยๆ ยกออกจากเบ้าหลอมด้วยความเร็วประมาณหนึ่งมิลลิเมตรครึ่งต่อนาที และด้วยคริสตัลผลึกเดี่ยวที่เพิ่มขึ้นจากสารละลาย การเติบโตของคริสตัลช้าและใช้เวลาเฉลี่ย 26 ชั่วโมงต่อถ้วยใส่ตัวอย่าง ดังนั้นการผลิตจึงดำเนินไปตลอด 24 ชั่วโมง

ในช่วงเวลานี้ "ลูกเปตอง" จะก่อตัวขึ้น ซึ่งเป็นผลึกทรงกระบอกแข็งที่มีเส้นผ่านศูนย์กลาง 300 มิลลิเมตร ยาวไม่เกิน 1-2 เมตร และหนักประมาณ 100 กิโลกรัม หากคุณดูภายใต้กำลังขยายที่แข็งแกร่ง คุณจะเห็นโครงสร้างที่เข้มงวด - ผลึกผลึกในอุดมคติของอะตอมซิลิกอนซึ่งมีความสม่ำเสมออย่างสมบูรณ์ทั่วทั้งปริมาตร

คริสตัลมีความแข็งแรงมากจนน้ำหนักสามารถทนต่อเกลียวที่มีขนาดเส้นผ่านศูนย์กลางเพียง 3 มิลลิเมตรเท่านั้น ดังนั้นช่องว่างที่เสร็จแล้วสำหรับโปรเซสเซอร์จึงถูกดึงออกจากเบ้าหลอมด้วยคริสตัลเมล็ดเดียวกัน

อย่างไรก็ตาม "ลูกเปตอง" ได้รับการจัดการอย่างระมัดระวังมากกว่าแจกันโบราณ คริสตัลสามารถทนต่อแรงดึงมหาศาล แต่มีความเปราะบางอย่างยิ่ง

หลังจากการตรวจสอบทางเคมีและฟลูออโรสโคปเพื่อตรวจสอบความบริสุทธิ์ของผลึกและความถูกต้องของโครงข่ายโมเลกุล ชิ้นงานจะถูกวางลงในเครื่องตัดซิลิกอน เธอตัดคริสตัลเป็นแผ่นเวเฟอร์หนาประมาณ 1 มิลลิเมตรโดยใช้เลื่อยลวดเคลือบเพชร

แน่นอนว่ามันไม่สมบูรณ์โดยไม่มีความเสียหาย ไม่ว่าใบเลื่อยจะคมแค่ไหน หลังจากตัดแล้ว ข้อบกพร่องด้วยกล้องจุลทรรศน์ยังคงอยู่บนพื้นผิวของเพลต ดังนั้นการสไลซ์จึงตามด้วยขั้นตอนการขัดเงา

แต่ถึงแม้จะผ่านการประมวลผลในเครื่องเจียรที่ทรงพลังแล้ว เวเฟอร์ซิลิคอนก็ยังไม่ราบรื่นพอที่จะใช้สำหรับการผลิตไมโครชิป ดังนั้นการขัดซ้ำแล้วซ้ำอีกโดยใช้สารเคมี

ผลที่ได้คือพื้นผิวเมื่อเปรียบเทียบกับกระจกที่มีลักษณะคล้ายกระดาษทรายหยาบ จานที่ไม่มีรอยขาดและข้อบกพร่องเล็ก ๆ น้อย ๆ กลายเป็นพื้นฐานสำหรับอุปกรณ์ไมโครอิเล็กทรอนิกส์นับล้านที่สร้างไมโครเซอร์กิต แผ่นซิลิกอนปลอดฝุ่นซึ่งมักเรียกว่า "เวเฟอร์" หรือ "วาฟเฟิล" ในภาชนะที่ปิดสนิทจะถูกส่งไปยังห้องปลอดเชื้อ

ในห้องสะอาด

ในปี 1958 Jack Kirby ผู้ประดิษฐ์วงจรรวมได้ค้นพบความก้าวหน้าโดยการวางทรานซิสเตอร์หนึ่งตัวบนวงจรของเขา ทุกวันนี้ จำนวนองค์ประกอบเชิงตรรกะของไมโครโปรเซสเซอร์มีเกินหนึ่งพันล้านตัวและยังคงเพิ่มขึ้นเป็นสองเท่าทุก ๆ สองปีตามกฎของมัวร์

การทำงานกับชิ้นส่วนด้วยกล้องจุลทรรศน์ดังกล่าวถือเป็นความท้าทายอย่างมากสำหรับผู้ผลิตชิป เนื่องจากแม้แต่ฝุ่นเพียงจุดเดียวก็สามารถทำลายผลิตภัณฑ์ในอนาคตได้ ดังนั้นเวิร์คช็อปที่มีพื้นที่สองพัน ตารางเมตรแยกออกจากโลกภายนอกโดยสิ้นเชิง พร้อมกับระบบฟอกอากาศและปรับอากาศที่ล้ำสมัยที่สุด ทำให้สะอาดกว่าห้องผ่าตัดถึง 10,000 เท่า

ผู้เชี่ยวชาญทุกคนที่ทำงานในห้องปลอดเชื้อดังกล่าวไม่เพียงแต่รักษาความเป็นหมัน แต่ยังสวมชุดป้องกันที่ทำจากวัสดุป้องกันไฟฟ้าสถิตย์, หน้ากาก, ถุงมือ และถึงกระนั้น แม้จะมีข้อควรระวังทั้งหมดเพื่อลดความเสี่ยงของการถูกปฏิเสธ แต่บริษัทโปรเซสเซอร์ก็พยายามที่จะทำให้การดำเนินการในห้องสะอาดเป็นอัตโนมัติมากที่สุดเท่าที่จะทำได้โดยวางไว้บนหุ่นยนต์อุตสาหกรรม

กระบวนการผลิตโปรเซสเซอร์วางอยู่บนสายพานลำเลียง จัดส่งในกล่องปิดผนึก "เวเฟอร์" แบบแบนที่สมบูรณ์แบบผ่านการดำเนินการทางเทคโนโลยี 400-500 และออกจากร้านเพียงไม่กี่เดือนต่อมาในรูปแบบของไมโครชิปสำเร็จรูป

การสร้างไมโครชิปจาก "เวเฟอร์" หมายถึงการสร้างห่วงโซ่เทคโนโลยีที่ซับซ้อนมาก ซึ่งไม่สามารถอธิบายโดยละเอียดได้เนื่องจากข้อจำกัดด้านปริมาณของบทความ แม้ว่าพวกเขาจะไม่ได้อยู่ที่นั่น แต่บริษัทอย่าง Intel และ AMD ก็ไม่รีบร้อนที่จะแบ่งปันความลับในการผลิต ในแผนกออกแบบของ บริษัท ออกแบบโครงร่างสามมิติที่ซับซ้อนที่สุดของการจัดเรียงองค์ประกอบโปรเซสเซอร์ร่วมกัน - โทโพโลยีของไมโครเซอร์กิต - ได้รับการออกแบบ พวกเขาเป็นตัวแทนของกององค์ประกอบหลายระดับซึ่งแบ่งออกเป็นชั้นและฝากชั้นโดยชั้นบนพื้นผิวซิลิกอน แน่นอนว่ามันเป็นไปไม่ได้ที่จะทำด้วยมือ กระบวนการที่ละเอียดอ่อนเกินไป องค์ประกอบที่เล็กเกินไป ขนาดนาโนเมตรอย่างแท้จริง

โปรเซสเซอร์รุ่นที่แปดของ Intel หรือที่รู้จักกันในชื่อ Coffee Lake มีทรานซิสเตอร์ 14 นาโนเมตร AMD ได้ประกาศเปิดตัวโปรเซสเซอร์ AMD Ryzen รุ่นที่สองซึ่งมีชื่อรหัสว่า Pinnacle Ridge ซึ่งสร้างขึ้นบนเซลล์ขนาด 12 นาโนเมตร ใหม่ล่าสุด การ์ดจอ NVIDIAด้วยสถาปัตยกรรมของแกน Volta ยังสร้างโดยใช้เทคโนโลยี 12 นาโนเมตร ระบบบนชิป Qualcomm Snapdragon 835 นั้นเล็กกว่า - เพียง 10 นาโนเมตร การลดขนาดองค์ประกอบการทำงานของโปรเซสเซอร์อย่างต่อเนื่อง และด้วยเหตุนี้ การเพิ่มประสิทธิภาพจึงเป็นไปได้ด้วยการปรับปรุงเทคโนโลยีที่เรียกว่าโฟโตลิโทกราฟี

โดยทั่วไป กระบวนการนี้สามารถอธิบายได้ดังนี้:

ประการแรก แผ่นเวเฟอร์ซิลิกอนถูกปกคลุมด้วยฐาน ซึ่งเป็นวัสดุที่จะต้องกลายเป็นส่วนหนึ่งของโครงการในอนาคต จากนั้นจึงใช้สารเคมีที่ไวต่อแสงบนชั้นที่สม่ำเสมอ ผู้เล่นตัวจริงนี้จะทำงานทั้งหมด แต่ประเด็นคือภายหลัง

ก่อนหน้านี้ ไดอะแกรมรายละเอียดที่เป็นความลับสูงของโปรเซสเซอร์ถูกดึงมาจากเอกสารสำคัญขององค์กร ชั้นล่างของมันถูกนำเสนอในรูปแบบของเนกาทีฟและถ่ายโอนไปยังโฟโตมาสก์ - แผ่นป้องกันที่ทำหน้าที่เหมือนลายฉลุ มีขนาดใหญ่กว่าชิปมาก ดังนั้นแสงที่ลอดผ่านจะถูกโฟกัสโดยใช้ระบบเลนส์ที่ซับซ้อน ทำให้ภาพที่ฉายมีขนาดที่ต้องการ

ในสถานที่ที่แสงไม่ถึงซิลิกอน เพลตจะยังคงไม่บุบสลาย ในสถานที่ที่มีแสงสว่างเพียงพอ จะเริ่มทำปฏิกิริยาในรีเอเจนต์ทางเคมีที่เปลี่ยนคุณสมบัติของมัน จากนั้นโปรเซสเซอร์ในอนาคตจะได้รับการบำบัดด้วยสารประกอบอื่น และพื้นที่เหล่านี้จะละลาย เหลือเฉพาะพื้นที่ที่ไม่ได้เปิดเผย พวกเขายังสร้างองค์ประกอบตรรกะที่เป็นสื่อกระแสไฟฟ้าของโปรเซสเซอร์

จากนั้นชั้นอิเล็กทริกจะถูกนำไปใช้กับเพลตและส่วนประกอบโปรเซสเซอร์ใหม่จะถูกเพิ่มที่ด้านบนอีกครั้งโดยใช้โฟโตลิโทกราฟี

ชั้นบางชั้นได้รับความร้อน ชั้นบางชั้นสัมผัสกับพลาสมาที่แตกตัวเป็นไอออน และชั้นชั้นอื่นๆ เคลือบด้วยโลหะ การประมวลผลแต่ละประเภทจะเปลี่ยนคุณสมบัติของเลเยอร์และค่อยๆ สร้างชิ้นส่วนของตัวต่อที่สร้างโมเดลชิปเฉพาะ ผลที่ได้คือเค้กชั้นหนึ่งซึ่งแต่ละชั้นมีฟังก์ชันการทำงานของตัวเองและเชื่อมต่อกันด้วยวิธีที่ซับซ้อนโดยใช้ "ราง" ของอะตอมทองแดงซึ่งวางอยู่บนพื้นผิวซิลิกอนจากสารละลายของคอปเปอร์ซัลเฟตผ่าน กระแสไฟฟ้าที่ไหลผ่านมัน

นี่เป็นขั้นตอนสุดท้ายของการประมวลผล หลังจากนั้นไมโครชิปจะถูกตรวจสอบการทำงาน แม้จะมีข้อควรระวังทั้งหมดและต้องใช้ความพยายามเป็นเวลาหลายวัน แต่อัตราการปฏิเสธยังคงสูง หุ่นยนต์จะเลือกและตัดชิปที่ใช้การได้เพียง 100% จากแผ่นเวเฟอร์ซิลิกอน
พวกมันจะถูกจัดเรียงตามประสิทธิภาพพลังงาน กระแสน้ำ และความถี่การทำงานสูงสุด กำหนดตำแหน่งที่แตกต่างกัน และสุดท้ายจะขายในราคาที่แตกต่างกัน

สัมผัสสุดท้าย

ระหว่างทางไปยังลูกค้า โปรเซสเซอร์จะออกจากคลีนรูมและไปที่สายการผลิต ซึ่งไมโครเซอร์กิตที่เสร็จแล้วจะติดกาวบนสี่เหลี่ยมที่เรียกว่าซับสเตรต คริสตัลถูกบัดกรีด้วยเตาอบพิเศษที่อุณหภูมิ 360 องศาเซลเซียส

จากนั้นปิดฝาชิป มันทำหน้าที่ทั้งปกป้องซิลิกอนที่ยังคงเปราะบางจากความเสียหายและเพื่อขจัดความร้อนออกจากมัน คุณอาจมีความคิดที่ดีว่าฐานของระบบทำความเย็นจะถูกกดลงบนฝาไม่ว่าจะเป็นตัวทำความเย็นหรือตัวแลกเปลี่ยนความร้อนของ CBO (ระบบระบายความร้อนด้วยน้ำ) นี่เป็นขั้นตอนที่สำคัญไม่น้อยไปกว่าครั้งที่แล้ว อันที่จริง ความเสถียรและความเร็วของการทำงาน ประสิทธิภาพสูงสุดในอนาคต ส่วนใหญ่ขึ้นอยู่กับว่าฝาครอบโปรเซสเซอร์ระบายความร้อนออกจากคริสตัลได้ดีเพียงใด

เก่า โปรเซสเซอร์ Intelประสานอย่างแท้จริงกับฝาครอบกระจายความร้อน อย่างไรก็ตาม ชิปที่เป็นกรรมสิทธิ์รุ่นล่าสุดได้รับการเชื่อมต่อในการระบายความร้อนระหว่างคริสตัลและฝาปิด และมีการระบายความร้อนที่แย่ลง ซึ่งน่าผิดหวังมากสำหรับผู้ที่ชื่นชอบฮาร์ดแวร์คอมพิวเตอร์ที่ต้องการบีบคั้นจากการซื้อของตนให้ได้มากที่สุด ถึงจุดที่พวกเขา "ถลอก" โปรเซสเซอร์ - พวกเขาถอดตัวกระจายความร้อนออกจากตัวพวกเขาอย่างอิสระและแทนที่อินเทอร์เฟซในการระบายความร้อนด้วยตัวประมวลผลที่มีประสิทธิภาพมากขึ้น แต่อย่าไปฟุ้งซ่านกับเทคนิคการโอเวอร์คล็อก เนื่องจากโปรเซสเซอร์ยังไม่พร้อม

ขั้นตอนสุดท้ายคือการสร้างหน้าสัมผัสทางไฟฟ้าที่จะเชื่อมต่อไมโครโปรเซสเซอร์ด้วย เมนบอร์ดคอมพิวเตอร์. โดยปกติถังดีบุกถูกสร้างขึ้นมาเพื่อสิ่งนี้ซึ่งเรียกว่า "ขา" ของโปรเซสเซอร์ซึ่งติดกาวก่อนแล้วจึงบัดกรีกับพื้นผิวซึ่งมีการจัดหาสถานที่ไว้ล่วงหน้า สำหรับไมโครชิปที่มีพันธะจำนวนมาก บางครั้งใช้ลูกบอลดีบุกขนาดเล็กแทนขา เนื่องจากมีความแข็งแรงและเชื่อถือได้มากกว่า แต่เมื่อเร็วๆ นี้ พวกมันถูกละทิ้งเพราะต้องการใช้แผ่นสัมผัสธรรมดาแทน

ไมโครชิปที่เสร็จแล้วจะถูกล้างในสารละลายของน้ำด้วยตัวทำละลายเพื่อขจัดฟลักซ์และสิ่งสกปรกส่วนเกิน จากนั้นจึงทำการตรวจสอบคุณภาพของงานที่ทำในขั้นสุดท้าย การทดสอบเหล่านี้อาจเป็นการทดสอบความเครียดของประสิทธิภาพ ทั้งในคลีนรูม และการทดสอบที่รุนแรงกว่า ตัวอย่างเช่น ชิปที่ออกแบบมาให้ทำงานในสภาวะที่รุนแรง เช่น ในอุตสาหกรรมอวกาศและทางการทหาร ถูกปิดผนึกในกล่องเซรามิกและผ่านการทดสอบซ้ำๆ ที่อุณหภูมิสูงมากในห้องสุญญากาศ

จากนั้นขึ้นอยู่กับวัตถุประสงค์ของไมโครโปรเซสเซอร์ มันส่งตรงถึงมือของผู้ซื้อแล้วไปที่ซ็อกเก็ต เมนบอร์ดหรือโรงงานอื่นๆ ที่จะมีซิลิคอนคริสตัลขนาดเล็กมาแทนที่บอร์ดคอมพิวเตอร์ของการ์ดวิดีโอ ดาวเทียมในอวกาศ ตู้เย็นอัจฉริยะ หรืออาจตกลงไปในเคสสมาร์ทโฟน

การผลิตโปรเซสเซอร์

องค์ประกอบทางเคมีหลักที่ใช้ในการผลิตโปรเซสเซอร์คือซิลิกอน ซึ่งเป็นองค์ประกอบที่มีมากที่สุดในโลกรองจากออกซิเจน เป็นองค์ประกอบพื้นฐานของทรายชายฝั่ง (ซิลิกอนไดออกไซด์) อย่างไรก็ตามในรูปแบบนี้ไม่เหมาะสำหรับการผลิตไมโครเซอร์กิต เพื่อใช้ซิลิกอนเป็นวัสดุในการทำ mi

ข้ามวงจรต้องใช้กระบวนการทางเทคโนโลยีที่ยาวนานซึ่งเริ่มต้นด้วยการผลิตผลึกซิลิกอนบริสุทธิ์โดยวิธี Czochralski ตามเทคโนโลยีนี้ วัตถุดิบ ซึ่งส่วนใหญ่ใช้เป็นหินควอทซ์ จะถูกแปลงในเตาอาร์คไฟฟ้าเป็นซิลิคอนโลหะ จากนั้น เพื่อขจัดสิ่งสกปรก ซิลิกอนที่ได้จะถูกหลอม กลั่น และตกผลึกในรูปของแท่งเซมิคอนดักเตอร์ที่มีระดับความบริสุทธิ์สูงมาก (99.999999%) หลังจากการตัดแท่งด้วยกลไกแล้ว แท่งแท่งที่ได้จะถูกบรรจุลงในถ้วยทดลองแบบควอตซ์และวางไว้ในเตาอบไฟฟ้าสำหรับเป่าแห้งเพื่อดึงผลึก ซึ่งหลอมละลายที่อุณหภูมิเกิน 2500 องศาฟาเรนไฮต์ เพื่อป้องกันการก่อตัวของสิ่งสกปรก เตาอบแห้งมักจะติดตั้งบนฐานคอนกรีตหนา ในทางกลับกัน ฐานคอนกรีตจะติดตั้งอยู่บนโช้คอัพ ซึ่งสามารถลดการสั่นสะเทือนได้อย่างมาก ซึ่งอาจส่งผลเสียต่อการเกิดผลึก เมื่อชิ้นงานเริ่มละลาย ผลึกเมล็ดเล็กๆ ที่หมุนช้าๆ จะถูกวางลงในซิลิกอนที่หลอมเหลว ขณะที่ผลึกเมล็ดเคลื่อนออกจากพื้นผิวของการหลอมเหลว เส้นใยซิลิกอนจะตามไปตามนั้น ซึ่งเมื่อแข็งตัวแล้ว จะก่อตัวเป็นโครงสร้างผลึก โดยการเปลี่ยนแปลงความเร็วของการเคลื่อนที่ของผลึกเมล็ด (10-40 มม. ต่อชั่วโมง) และอุณหภูมิ (ประมาณ 2500 องศาฟาเรนไฮต์) เราจะได้ผลึกซิลิกอนที่มีเส้นผ่านศูนย์กลางเริ่มต้นขนาดเล็ก ซึ่งจากนั้นจึงเติบโตเป็นขนาดที่ต้องการ ขึ้นอยู่กับขนาดของไมโครเซอร์กิตที่ผลิตขึ้น คริสตัลที่โตแล้วจะมีเส้นผ่านศูนย์กลาง 8-12 นิ้ว (20-30 มม.) และยาว 5 ฟุต (ประมาณ 1.5 ม.)

น้ำหนักของคริสตัลที่โตแล้วถึงหลายร้อยปอนด์ ชิ้นงานถูกสอดเข้าไปในกระบอกสูบขนาดเส้นผ่านศูนย์กลาง 200 มม. (มาตรฐานปัจจุบัน) ซึ่งมักจะมีการตัดแบบเรียบที่ด้านหนึ่งสำหรับการวางตำแหน่งและความแม่นยำในการตัดเฉือน จากนั้นชิ้นงานแต่ละชิ้นจะถูกตัดด้วยเลื่อยเพชรในพื้นผิวทรงกลมมากกว่าหนึ่งพันชิ้นที่มีความหนาน้อยกว่าหนึ่งมิลลิเมตร (รูปที่ 2) หลังจากนั้นพื้นผิวจะถูกขัดเงาจนพื้นผิวเรียบเป็นกระจก การผลิตไมโครเซอร์กิตใช้กระบวนการที่เรียกว่าโฟโตลิโทกราฟี เทคโนโลยีของกระบวนการนี้มีดังนี้ ชั้นของวัสดุต่างๆ จะถูกวางทับกันบนเซมิคอนดักเตอร์ซึ่งทำหน้าที่เป็นพื้นฐานของชิป ดังนั้นทรานซิสเตอร์วงจรอิเล็กทรอนิกส์และตัวนำ (แทร็ก) จึงถูกสร้างขึ้นโดยส่งสัญญาณ ที่จุดตัดของวงจรเฉพาะ คุณสามารถสร้างทรานซิสเตอร์หรือสวิตช์ (วาล์ว) กระบวนการโฟโตลิโทกราฟีเริ่มต้นด้วยการเคลือบสารตั้งต้นด้วยชั้นเซมิคอนดักเตอร์ด้วยสารเติมแต่งพิเศษ จากนั้นชั้นนี้จะถูกเคลือบด้วยองค์ประกอบทางเคมีแบบไวแสง จากนั้นภาพของไมโครเซอร์กิตจะถูกฉายลงบนพื้นผิวที่ไวต่อแสงในขณะนี้ เป็นผลมาจากการเพิ่มสิ่งสกปรกของผู้บริจาคลงในซิลิกอน (ซึ่งโดยธรรมชาติแล้วเป็นไดอิเล็กตริก) จะได้รับเซมิคอนดักเตอร์ โปรเจ็กเตอร์ใช้โฟโตมาสก์พิเศษ (มาสก์) ซึ่งอันที่จริงแล้วเป็นแผนที่ของเลเยอร์เฉพาะของไมโครเซอร์กิตนี้ (ชิปโปรเซสเซอร์ Pentium III ประกอบด้วยห้าชั้น; อื่นๆ โปรเซสเซอร์ที่ทันสมัยอาจมีหกชั้นขึ้นไป เมื่อพัฒนาโปรเซสเซอร์ใหม่ จำเป็นต้องออกแบบโฟโตมาสก์สำหรับแต่ละเลเยอร์ของไมโครเซอร์กิต) เมื่อผ่านโฟโตมาสก์แรก แสงจะพุ่งไปที่พื้นผิวของวัสดุพิมพ์ ทิ้งรอยประทับของภาพของเลเยอร์นี้ จากนั้นอุปกรณ์พิเศษจะเคลื่อนย้ายวัสดุพิมพ์บางส่วนและใช้โฟโตมาสก์ (มาสก์) เดียวกันเพื่อพิมพ์ไมโครเซอร์กิตถัดไป หลังจากที่ไมโครเซอร์กิตถูกพิมพ์ลงบนพื้นผิวทั้งหมด ด่างกัดกร่อนจะล้างบริเวณที่แสงส่งผลกระทบต่อสารไวแสง ทิ้งรอยพิมพ์ของโฟโตมาสก์ (มาสก์) ของเลเยอร์เฉพาะของไมโครเซอร์กิตและการเชื่อมต่อระหว่างชั้น (การเชื่อมต่อระหว่างชั้น) เช่นเดียวกับเส้นทางสัญญาณ หลังจากนั้นสารกึ่งตัวนำอีกชั้นหนึ่งจะถูกนำไปใช้กับพื้นผิวและอีกสารต้านทานแสงเล็กน้อยที่ด้านบนจากนั้นจึงใช้โฟโตมาสก์ถัดไป (มาสก์) เพื่อสร้างเลเยอร์ถัดไปของไมโครเซอร์กิต ด้วยวิธีนี้ เลเยอร์จะถูกวางทับอีกชั้นหนึ่งจนกว่าไมโครเซอร์กิตจะถูกสร้างขึ้นอย่างสมบูรณ์

มาสก์สุดท้ายเพิ่มเลเยอร์ที่เรียกว่าเมทัลไลเซชันซึ่งใช้เชื่อมต่อทรานซิสเตอร์ทั้งหมดและส่วนประกอบอื่นๆ microcircuits ส่วนใหญ่ใช้อลูมิเนียมสำหรับชั้นนี้ แต่เมื่อเร็ว ๆ นี้มีการใช้ทองแดง ตัวอย่างเช่น ทองแดงใช้ในการผลิตโปรเซสเซอร์ AMD ที่โรงงานในเดรสเดน เนื่องจากทองแดงมีการนำไฟฟ้าได้ดีกว่าอะลูมิเนียม อย่างไรก็ตาม สำหรับการใช้ทองแดงอย่างแพร่หลาย จำเป็นต้องแก้ปัญหาการกัดกร่อนของทองแดง

เมื่อการประมวลผลพื้นผิวทรงกลมเสร็จสิ้น จำนวนไมโครวงจรสูงสุดที่เป็นไปได้จะถูกพิมพ์ลงบนวัสดุพิมพ์ดังกล่าวโดยวิธีภาพถ่าย ไมโครเซอร์กิตมักจะอยู่ในรูปของสี่เหลี่ยมจัตุรัสหรือสี่เหลี่ยมผืนผ้า พื้นที่ "ว่าง" บางส่วนยังคงอยู่ตามขอบของพื้นผิว แม้ว่าผู้ผลิตจะพยายามใช้พื้นผิวทุกตารางมิลลิเมตร อุตสาหกรรมนี้กำลังอยู่ในช่วงเปลี่ยนผ่านอีกช่วงหนึ่งในการผลิตไมโครเซอร์กิต เมื่อเร็ว ๆ นี้มีแนวโน้มที่จะเพิ่มเส้นผ่านศูนย์กลางของพื้นผิวและลดขนาดโดยรวมของคริสตัลซึ่งสะท้อนให้เห็นในการลดขนาดของแต่ละวงจรและทรานซิสเตอร์และระยะห่างระหว่างพวกเขา ในช่วงปลายปี 2544 และต้นปี 2545 มีการเปลี่ยนจากเทคโนโลยี 0.18 เป็น 0.13 ไมครอน โดยแทนที่คริสตัลระหว่างคริสตัลอะลูมิเนียมด้วยตัวเชื่อมทองแดง และเส้นผ่านศูนย์กลางของพื้นผิวเพิ่มขึ้นจาก 200 มม. (8 นิ้ว) เป็น 300 มม. (12 นิ้ว) การเพิ่มขนาดเส้นผ่านศูนย์กลางของซับสเตรตเป็น 300 มม. ช่วยเพิ่มจำนวนไมโครเซอร์กิตที่ผลิตขึ้นเป็นสองเท่า การใช้เทคโนโลยี 0.13 ไมครอนช่วยให้สามารถวางทรานซิสเตอร์จำนวนมากบนชิปได้ในขณะที่ยังคงขนาดที่ยอมรับได้และเปอร์เซ็นต์ผลผลิตที่น่าพอใจ ซึ่งหมายความว่าแนวโน้มการเพิ่มขึ้นของจำนวนหน่วยความจำแคชที่ฝังอยู่ในไดย์ของโปรเซสเซอร์ยังคงดำเนินต่อไป เพื่อเป็นตัวอย่างว่าสิ่งนี้จะส่งผลต่อพารามิเตอร์ของไมโครเซอร์กิตอย่างไร ให้พิจารณาโปรเซสเซอร์ Pentium 4

เส้นผ่านศูนย์กลางของพื้นผิวมาตรฐานที่ใช้ในอุตสาหกรรมเซมิคอนดักเตอร์เป็นเวลาหลายปีคือ 200 มม. หรือประมาณ 8 นิ้ว (รูป) ดังนั้นพื้นที่วัสดุพิมพ์ถึง 31,416 mm2 รุ่นแรกของโปรเซสเซอร์ Pentium 4 ซึ่งทำขึ้นจากวัสดุพิมพ์ 200 มม. มีแกน Willamette ขนาด 0.18 ไมครอนพร้อมหมุดอะลูมิเนียมที่อยู่บนดายโดยมีพื้นที่ประมาณ 217 มม.2 โปรเซสเซอร์ประกอบด้วยทรานซิสเตอร์ 42 ล้านตัว พื้นผิวขนาด 200 มม. (8 นิ้ว) สามารถรองรับไมโครเซอร์กิตได้ถึง 145 ตัว โปรเซสเซอร์ Northwood Pentium 4 ขนาด 0.13 ไมครอน รวมวงจรทองแดงบนไดย์ขนาด 131 มม. โปรเซสเซอร์นี้มีทรานซิสเตอร์อยู่แล้ว 55 ล้านตัว เมื่อเทียบกับรุ่น Willamette แกนของ Northwood มีแคช L2 ออนบอร์ดเป็นสองเท่า (512KB) ซึ่งอธิบายจำนวนทรานซิสเตอร์ที่มีอยู่มากขึ้น การใช้เทคโนโลยี 0.13 ไมครอนทำให้สามารถลดขนาดแม่พิมพ์ลงได้ประมาณ 60% ซึ่งทำให้สามารถรองรับวงจรไมโครได้ถึง 240 ตัวบนซับสเตรต 200 มม. (8 นิ้ว) เดียวกัน อย่างที่คุณจำได้ มีคริสตัล Willamette เพียง 145 เม็ดเท่านั้นที่สามารถวางบนสารตั้งต้นนี้ได้ ในต้นปี 2545 Intel เริ่มผลิตชิป Northwood บนวัสดุพิมพ์ขนาดใหญ่กว่า 300 มม. ด้วยพื้นที่ 70,686 mm2 พื้นที่ของพื้นผิวนี้คือ 2.25 เท่าของพื้นที่ของพื้นผิว 200 มม. ซึ่งทำให้สามารถเพิ่มจำนวนไมโครเซอร์กิตได้เป็นสองเท่า ถ้าเราพูดถึงโปรเซสเซอร์ Pentium 4 Northwood จะสามารถวางไมโครเซอร์กิตได้ถึง 540 ตัวบนซับสเตรต 300 มม. การใช้เทคโนโลยี 0.13 ไมครอนที่ทันสมัยร่วมกับพื้นผิวขนาดใหญ่ทำให้สามารถผลิตโปรเซสเซอร์ Pentium 4 ได้มากกว่า 3.7 เท่า สาเหตุส่วนใหญ่มาจากข้อเท็จจริงที่ว่าไมโครเซอร์กิตสมัยใหม่มักจะมีต้นทุนต่ำกว่าไมโครเซอร์กิต เวอร์ชันก่อนหน้า... ในปี 2546 อุตสาหกรรมเซมิคอนดักเตอร์เปลี่ยนไปใช้เทคโนโลยี 0.09 ไมครอน เมื่อมีการแนะนำสายการผลิตใหม่ เศษบางตัวบนวัสดุพิมพ์จะไม่สามารถใช้ได้ แต่เมื่อเทคโนโลยีสำหรับการผลิตไมโครเซอร์กิตนี้ดีขึ้น เปอร์เซ็นต์ของไมโครเซอร์กิตที่ดี (ทำงาน) ซึ่งเรียกว่าผลผลิตของวงจรดีก็จะเพิ่มขึ้นเช่นกัน ในช่วงเริ่มต้นของการเปิดตัวผลิตภัณฑ์ใหม่ ผลผลิตอาจต่ำกว่า 50% แต่เมื่อถึงเวลาที่ผลิตภัณฑ์ประเภทนี้ถูกยกเลิก จะอยู่ที่ 90% แล้ว ผู้ผลิตชิปส่วนใหญ่ซ่อน ตัวเลขจริง ผลผลิตที่ดีเนื่องจากความรู้เกี่ยวกับความสัมพันธ์ที่แท้จริงของความเหมาะสมกับข้อบกพร่องสามารถอยู่ในมือของคู่แข่งได้ หากบริษัทมีข้อมูลที่เป็นรูปธรรมว่าอัตราผลตอบแทนของคู่แข่งเพิ่มขึ้นเร็วเพียงใด ก็สามารถปรับราคาชิปหรือวางแผนการผลิตเพื่อเพิ่มส่วนแบ่งการตลาดในช่วงเวลาวิกฤติได้ ตัวอย่างเช่น ระหว่างปี 1997 และ 1998 AMD มีอัตราผลตอบแทนต่ำและสูญเสียส่วนแบ่งการตลาดที่สำคัญ แม้ว่า AMD จะพยายามแก้ปัญหานี้ แต่ก็ยังต้องลงนามในข้อตกลงที่ IBM Microelectronics เป็นผู้ผลิตและจัดหาไมโครโปรเซสเซอร์ของตนเองให้กับ AMD เมื่อเสร็จสิ้นการประมวลผลของวัสดุพิมพ์ อุปกรณ์พิเศษจะตรวจสอบแต่ละ microcircuit บนมันและทำเครื่องหมายที่ชำรุดซึ่งจะถูกปฏิเสธในภายหลัง จากนั้นไมโครเซอร์กิตจะถูกตัดออกจากซับสเตรตโดยใช้เลเซอร์ประสิทธิภาพสูงหรือเลื่อยเพชร เมื่อแม่พิมพ์ถูกตัดออกจากพื้นผิว ชิปแต่ละตัวจะถูกทดสอบแยกกัน บรรจุหีบห่อและทดสอบอีกครั้ง กระบวนการบรรจุภัณฑ์เรียกว่าการประสาน หลังจากวางคริสตัลลงในเคสแล้ว เครื่องพิเศษจะเชื่อมต่อสายคริสตัลกับหมุด (หรือหน้าสัมผัส) บนเคสไมโครเซอร์กิตด้วยลวดสีทองบาง จากนั้นไมโครเซอร์กิตจะถูกบรรจุในถุงพิเศษ - ภาชนะที่ป้องกันจากผลกระทบจากสภาพแวดล้อมภายนอก หลังจากที่หมุดของคริสตัลเชื่อมต่อกับหมุดบนตัวไมโครเซอร์กิตและบรรจุไมโครเซอร์กิตแล้ว การทดสอบขั้นสุดท้ายจะดำเนินการเพื่อกำหนดการทำงานที่ถูกต้องและความเร็วที่กำหนด ไมโครเซอร์กิตที่ต่างกันในซีรีส์เดียวกันมักมีความเร็วต่างกัน อุปกรณ์ทดสอบพิเศษบังคับให้ไมโครเซอร์กิตแต่ละวงจรทำงานในสภาวะต่างๆ (ที่ความดัน อุณหภูมิ และความถี่นาฬิกาต่างกัน) กำหนดค่าของพารามิเตอร์ที่การทำงานที่ถูกต้องของไมโครเซอร์กิตหยุดทำงาน ในแบบคู่ขนานกำหนดความเร็วสูงสุด หลังจากนั้น microcircuits จะถูกจัดเรียงตามความเร็วและกระจายไปตามตัวรับ: microcircuits ที่มีพารามิเตอร์ใกล้เคียงกันจะตกลงไปในเครื่องรับเดียวกัน ตัวอย่างเช่น ไมโครเซอร์กิต Pentium 4 2.0A, 2.2, 2.26, 2.24 และ 2.53 GHz เป็นไมโครเซอร์กิตเดียวกัน นั่นคือ ทั้งหมดถูกพิมพ์จากโฟโตมาสก์เดียวกัน นอกจากนี้ พวกมันทำมาจากชิ้นงานเดียวกัน แต่เมื่อสิ้นสุดการผลิต วงจรเรียงตามความเร็ว

ประวัติการผลิตโปรเซสเซอร์

โปรเซสเซอร์ที่ทันสมัยใด ๆ ประกอบด้วยทรานซิสเตอร์ชุดใหญ่ที่ทำหน้าที่เป็นสวิตช์ไมโครสโคปอิเล็กทรอนิกส์ ทรานซิสเตอร์สามารถสลับกันได้หลายพันล้านครั้ง แม้กระทั่งล้านล้านครั้งต่อวินาที ต่างจากสวิตช์ทั่วไป อย่างไรก็ตาม เพื่อให้ได้ความเร็วการสวิตชิ่งที่มหาศาลเช่นนี้ จำเป็นต้องลดขนาดของทรานซิสเตอร์เหล่านี้ลง นอกจากนี้ ประสิทธิภาพของโปรเซสเซอร์ใดๆ ในท้ายที่สุดจะถูกกำหนดโดยจำนวนของทรานซิสเตอร์เอง นั่นคือเหตุผลที่ตั้งแต่การสร้างไมโครเซอร์กิตแบบบูรณาการครั้งแรกในปี 2502 การพัฒนาอุตสาหกรรมไปในทิศทางของการลดขนาดของทรานซิสเตอร์และในขณะเดียวกันก็เพิ่มความหนาแน่นของไมโครเซอร์กิต

เมื่อพูดถึงการคาดการณ์สำหรับการเพิ่มความหนาแน่นของตำแหน่งและลดขนาดเรขาคณิตของทรานซิสเตอร์ กฎของมัวร์มักจะถูกกล่าวถึง ทุกอย่างเริ่มต้นในปี 1965 สามปีก่อนที่ Gordon E. Moore จะร่วมก่อตั้ง Intel Corporation ในช่วงเวลาที่ห่างไกลนั้น เทคโนโลยีสำหรับการผลิตวงจรรวมทำให้สามารถรวมทรานซิสเตอร์ประมาณสามโหลในไมโครเซอร์กิตเดียวได้ และกลุ่มนักวิทยาศาสตร์ที่นำโดยกอร์ดอน มัวร์ ได้เสร็จสิ้นการพัฒนาไมโครเซอร์กิตใหม่ ซึ่งรวมทรานซิสเตอร์ 60 ตัวไว้แล้ว ตามคำร้องขอของนิตยสาร Electronics กอร์ดอน มัวร์เขียนบทความเพื่อฉลองการครบรอบ 35 ปีของการตีพิมพ์ ในบทความนี้ มีการขอให้มัวร์คาดการณ์ว่าอุปกรณ์เซมิคอนดักเตอร์จะพัฒนาอย่างไรในอีก 10 ปีข้างหน้า หลังจากวิเคราะห์ความเร็วของการพัฒนาอุปกรณ์เซมิคอนดักเตอร์และปัจจัยทางเศรษฐกิจในช่วงหกปีที่ผ่านมา มัวร์สันนิษฐานว่าจำนวนทรานซิสเตอร์บนชิปจะเพิ่มขึ้นเป็นสองเท่าทุกปี และในปี 1975 จำนวนทรานซิสเตอร์ในวงจรรวมหนึ่งวงจรจะเท่ากับ 65,000

แน่นอน ในปี 1965 ทั้งตัวกอร์ดอน มัวร์เองและใครๆ ก็ไม่สามารถจินตนาการได้ว่าการคาดการณ์ที่เผยแพร่สำหรับอีก 10 ปีข้างหน้าจะไม่เพียงแต่เป็นจริงอย่างแน่นอน แต่ยังใช้เป็นพื้นฐานสำหรับการกำหนดกฎง่ายๆ สำหรับการพัฒนาทั้งหมด เทคโนโลยีเซมิคอนดักเตอร์เป็นเวลาหลายปี อย่างไรก็ตาม คำทำนายของมัวร์ไม่ได้ราบรื่นนัก ภายในปี 1975 การเติบโตของจำนวนองค์ประกอบในไมโครเซอร์กิตหนึ่งวงจรเริ่มล่าช้ากว่าที่คาดการณ์ไว้เล็กน้อย จากนั้นกอร์ดอน มัวร์ได้ปรับระยะเวลาการอัพเกรดเป็น 24 เดือนเพื่อชดเชยความซับซ้อนของส่วนประกอบเซมิคอนดักเตอร์ที่คาดว่าจะเพิ่มขึ้น ในช่วงปลายทศวรรษ 1980 มีการแก้ไขเพิ่มเติมโดยผู้บริหารของ Intel และการคาดการณ์ของ Moore คือการเพิ่มประสิทธิภาพของการประมวลผลเป็นสองเท่าทุก ๆ 18 เดือน (ประสิทธิภาพการประมวลผลที่วัดเป็นล้านคำสั่งต่อวินาที (MIPS) เพิ่มขึ้นเนื่องจากจำนวนทรานซิสเตอร์ที่เพิ่มขึ้น ).

จนถึงขณะนี้ เราได้ใช้คำว่า "พยากรณ์" หรือ "การทำนาย" อย่างจงใจโดยมัวร์ แต่คำว่า "กฎของมัวร์" นั้นพบได้ทั่วไปในวรรณคดี ความจริงก็คือหลังจากการตีพิมพ์บทความดังกล่าวในนิตยสาร Electronics ศาสตราจารย์ Carver Mead ซึ่งเป็นเพื่อนร่วมงานของ Moore ที่ California Institute of Technology ได้คาดการณ์ชื่อนี้ว่า "Moore's Law" และมันก็ติดอยู่

ทำไมต้องลดขนาดทรานซิสเตอร์?

การลดขนาดของทรานซิสเตอร์จะลดพื้นที่ดาย และด้วยเหตุนี้การกระจายความร้อน และเกทที่บางกว่าช่วยให้คุณใช้แรงดันไฟฟ้าในการสวิตชิ่งน้อยลง ซึ่งยังช่วยลดการใช้พลังงานและการกระจายความร้อนอีกด้วย

หากความยาวของเกตของทรานซิสเตอร์ลดลงเป็นปัจจัย M แสดงว่าแรงดันไฟฟ้าในการทำงานของเกตจะลดลงตามจำนวนที่เท่ากัน นอกจากนี้ ความเร็วของทรานซิสเตอร์เพิ่มขึ้นด้วยปัจจัย M และความหนาแน่นของตำแหน่งของทรานซิสเตอร์บนชิปเพิ่มขึ้นเป็นสองเท่า และการกระจายพลังงานลดลงหนึ่งเท่าของ M

เป็นเวลานาน การหดตัวของทรานซิสเตอร์เป็นวิธีที่ชัดเจนที่สุดในการเพิ่มประสิทธิภาพของโปรเซสเซอร์ ในทางปฏิบัติ การดำเนินการนี้ไม่ง่ายนัก แต่การสร้างโครงสร้างตัวประมวลผลดังกล่าวก็ยิ่งยากขึ้นไปอีก เพื่อให้ไปป์ไลน์ทำงานได้อย่างมีประสิทธิภาพสูงสุด

ปัจจัยลบของการลดขนาดของทรานซิสเตอร์

ในช่วงไม่กี่ปีที่ผ่านมา "การแข่งขันระดับกิกะเฮิรตซ์" เริ่มลดลงอย่างเห็นได้ชัด นี่เป็นเพราะความจริงที่ว่าเมื่อเริ่มต้นด้วยขนาดของทรานซิสเตอร์ 90 นาโนเมตร ปัจจัยลบที่ไม่เคยสังเกตมาก่อนทุกประเภทก่อนหน้านี้เริ่มปรากฏให้เห็นอย่างชัดเจน: กระแสรั่ว พารามิเตอร์ที่กระจัดกระจายขนาดใหญ่ และการปล่อยความร้อนที่เพิ่มขึ้นแบบทวีคูณ ลองคิดดูตามลำดับ

มีกระแสรั่วไหลสองกระแส: กระแสรั่วไหลของเกตและการรั่วไหลของเกณฑ์ย่อย สาเหตุแรกเกิดจากการเคลื่อนที่โดยธรรมชาติของอิเล็กตรอนระหว่างพื้นผิวซิลิกอนของช่องสัญญาณและประตูโพลีซิลิคอน ประการที่สองคือการเคลื่อนที่ตามธรรมชาติของอิเล็กตรอนจากแหล่งกำเนิดของทรานซิสเตอร์ไปยังท่อระบายน้ำ ผลกระทบทั้งสองนี้นำไปสู่ความจริงที่ว่าคุณต้องเพิ่มแรงดันไฟฟ้าเพื่อควบคุมกระแสในทรานซิสเตอร์ และสิ่งนี้ส่งผลเสียต่อการกระจายความร้อน ดังนั้น โดยการลดขนาดของทรานซิสเตอร์ อย่างแรกเลย เราลดเกทและชั้นอิเล็กทริก ซึ่งเป็นสิ่งกีดขวางตามธรรมชาติระหว่างเกทกับช่องสัญญาณ ในแง่หนึ่ง สิ่งนี้ช่วยเพิ่มความเร็วของทรานซิสเตอร์ (เวลาสลับ) แต่ในทางกลับกัน มันเพิ่มการรั่วซึม นั่นคือมันกลายเป็นวงจรอุบาทว์ชนิดหนึ่ง ดังนั้นการเปลี่ยนไปใช้กระบวนการทางเทคโนโลยีที่บางลงจึงทำให้ความหนาของชั้นไดออกไซด์ลดลงอีกครั้งและในขณะเดียวกันก็มีการรั่วไหลเพิ่มขึ้น การต่อสู้กับการรั่วไหลคือการเพิ่มแรงดันไฟฟ้าควบคุมอีกครั้งและด้วยเหตุนี้การสร้างความร้อนจึงเพิ่มขึ้นอย่างมาก

หนึ่งในโซลูชั่นคือการใช้เทคโนโลยี SOI (ซิลิกอนบนฉนวน) ซึ่ง AMD ได้นำไปใช้ในโปรเซสเซอร์ 64 บิต อย่างไรก็ตาม เธอต้องใช้ความพยายามอย่างมากและการเอาชนะปัญหาที่เกี่ยวข้องจำนวนมาก แต่ตัวเทคโนโลยีเองก็มีข้อดีมากมายและมีข้อเสียค่อนข้างน้อย โดยทั่วไปสาระสำคัญของเทคโนโลยีค่อนข้างสมเหตุสมผล - ทรานซิสเตอร์ถูกแยกออกจากพื้นผิวซิลิกอนโดยฉนวนอีกชั้นหนึ่ง มีข้อดีหลายอย่าง ไม่มีการเคลื่อนไหวที่ไม่สามารถควบคุมได้ของอิเล็กตรอนภายใต้ช่องสัญญาณของทรานซิสเตอร์ซึ่งส่งผลต่อลักษณะทางไฟฟ้าของมัน - คราวนี้ หลังจากจ่ายกระแสปลดล็อคไปที่เกตเวลาของไอออนไนซ์ของช่องสัญญาณไปยังสถานะการทำงาน (จนถึงช่วงเวลาที่กระแสไฟทำงานไหลผ่าน) จะลดลงนั่นคือพารามิเตอร์หลักที่สองของประสิทธิภาพของทรานซิสเตอร์ดีขึ้น เวลา ของการเปิด / ปิดเป็นสอง หรือด้วยความเร็วเท่ากัน คุณสามารถลดกระแสการปลดล็อกได้ นั่นคือสาม หรือหาการประนีประนอมบางอย่างระหว่างการเพิ่มความเร็วของงานกับการลดแรงดันไฟ ในขณะที่รักษากระแสไฟที่เท่าเดิม ประสิทธิภาพของทรานซิสเตอร์เพิ่มขึ้นได้ถึง 30% หากความถี่เหลือเท่าเดิม การประหยัดพลังงานจะถึง 50% ในที่สุด ลักษณะของช่องสัญญาณจะคาดเดาได้มากขึ้น และตัวทรานซิสเตอร์เองก็มีความทนทานต่อข้อผิดพลาดแบบสุ่มมากขึ้น เช่น ที่เกิดจากอนุภาคของจักรวาล การตกลงไปในซับสเตรตของช่องสัญญาณ และทำให้เกิดไอออนโดยไม่คาดคิด ตอนนี้เมื่อเข้าสู่พื้นผิวที่อยู่ใต้ชั้นฉนวนจะไม่ส่งผลต่อการทำงานของทรานซิสเตอร์ แต่อย่างใด ข้อเสียเปรียบเพียงอย่างเดียวของ SOI คือจำเป็นต้องลดความลึกของพื้นที่ต้นทาง / การระบายน้ำซึ่งส่งผลโดยตรงและโดยตรงต่อการเพิ่มความต้านทานเมื่อความหนาลดลง

หน้าที่ของสิ่งกีดขวางอิเล็กตรอนเพื่อป้องกันการรั่วไหลของกระแสเกตนั้นดำเนินการโดยซิลิคอนไดออกไซด์ชั้นบาง ๆ ซึ่งเป็นฉนวนซึ่งอยู่ระหว่างเกตและช่อง เห็นได้ชัดว่ายิ่งชั้นนี้หนาเท่าไรก็ยิ่งทำหน้าที่ฉนวนได้ดีขึ้นเท่านั้น แต่มันเป็นส่วนสำคัญของแชนเนล และเห็นได้ชัดว่าถ้าเราจะลดความยาวของแชนเนล (ขนาดของทรานซิสเตอร์) เราต้องลดความหนาลงและก้าวอย่างรวดเร็ว . ในช่วงหลายทศวรรษที่ผ่านมา ความหนาของชั้นนี้มีค่าเฉลี่ยประมาณ 1/45 ของความยาวทั้งหมดของช่อง แต่กระบวนการนี้มีข้อ จำกัด ทางกายภาพของตัวเอง - ความหนาของชั้นขั้นต่ำต้องอยู่ที่ประมาณ 1 นาโนเมตร มิฉะนั้นกระแสไฟรั่วของเกตจะได้รับค่าที่ไม่สมจริง

จนกระทั่งเมื่อไม่นานมานี้ วัสดุที่ใช้ทำประตูคือโพลีคริสตัลไลน์ซิลิกอน (โพลีซิลิคอน) Polysilicon เป็นซิลิกอนที่มีความบริสุทธิ์สูงซึ่งมีปริมาณสิ่งเจือปนน้อยกว่า 0.01% ซึ่งประกอบด้วยเม็ดผลึกขนาดเล็กจำนวนมากที่จัดเรียงแบบสุ่มสัมพันธ์กัน Polysilicon เป็นวัตถุดิบสำหรับการผลิตซิลิคอน - โมโนซิลิคอนขั้นสูง และยังสามารถใช้ในรูปแบบบริสุทธิ์ร่วมกับโมโนซิลิคอนในบางพื้นที่ของการใช้งาน (เช่น ในการผลิตแผงเซลล์แสงอาทิตย์)

โมโนซิลิกอนแตกต่างจากการดัดแปลงโพลีคริสตัลลีนตรงที่โครงสร้างผลึกของมันถูกวางในระนาบผลึกศาสตร์

สถานการณ์เปลี่ยนไปเมื่อแทนที่จะใช้โพลิซิลิกอน มีการใช้วัสดุใหม่ร่วมกันในการผลิตเกต และแทนที่จะใช้ซิลิกอนออกไซด์ ไดอิเล็กตริก High-k ซึ่งอิงตามความเจือปนของเฮฟเนียมเตตระวาเลนต์ ถูกใช้เป็นเกตไดอิเล็กตริก ตารางที่ 14.1. นำเสนอขั้นตอนของการพัฒนากระบวนการทางเทคโนโลยีของการผลิตไมโครเซอร์กิต

ตารางที่ 14.1. การปรับปรุงกระบวนการทางเทคโนโลยี

สู่การผลิต

กระบวนการทางเทคนิค

ขนาดจาน (มม.)

การเชื่อมต่อ

อิเล็กทริกชัตเตอร์

วัสดุชัตเตอร์

โพลีซิลิคอน

โพลีซิลิคอน

โพลีซิลิคอน

โพลีซิลิคอน

โพลีซิลิคอน