คอมพิวเตอร์ Windows อินเทอร์เน็ต

หลักสูตรการทำงาน: ขั้นตอนการผลิตไมโครโปรเซสเซอร์ การผลิตโปรเซสเซอร์ - จากทรายสู่คอมพิวเตอร์ เทคโนโลยีโปรเซสเซอร์ที่ทันสมัย

แผนการบรรยาย

1. โปรเซสเซอร์เจ็ดรุ่น

2. เทคโนโลยีการผลิต

3. ขั้นตอนทางเทคโนโลยีของการผลิตไมโครโปรเซสเซอร์

1. โปรเซสเซอร์เจ็ดรุ่น

รุ่นแรก (โปรเซสเซอร์ 8086 และ 8088 และตัวประมวลผลร่วมทางคณิตศาสตร์ 8087) วางรากฐานทางสถาปัตยกรรม - ชุดรีจิสเตอร์ 16 บิต "ไม่เท่ากัน" ระบบระบุตำแหน่งเซกเมนต์ภายใน 1 MB พร้อมโหมดที่หลากหลาย ระบบคำสั่ง ระบบขัดจังหวะ และคุณสมบัติอื่นๆ อีกมากมาย โปรเซสเซอร์ใช้ไปป์ไลน์ "เล็ก": ในขณะที่บางโหนดกำลังดำเนินการคำสั่งปัจจุบัน บล็อกการดึงข้อมูลล่วงหน้าจะดึงโหนดถัดไปจากหน่วยความจำ

รุ่นที่สาม (80286 และ 80287 ตัวประมวลผลร่วม) ได้เพิ่ม "โหมดที่ได้รับการป้องกัน" ที่เรียกว่า "โหมดที่ได้รับการป้องกัน" ลงในตระกูล ซึ่งอนุญาตให้ใช้หน่วยความจำเสมือนขนาดสูงสุด 1 GB สำหรับแต่ละงาน โดยใช้หน่วยความจำกายภาพที่กำหนดแอดเดรสได้ภายใน 16 MB โหมดที่ได้รับการป้องกันได้กลายเป็นพื้นฐานสำหรับการสร้างระบบปฏิบัติการแบบมัลติทาสก์ ซึ่งระบบสิทธิ์พิเศษจะควบคุมความสัมพันธ์ของงานกับหน่วยความจำ ระบบปฏิบัติการ และระหว่างกันอย่างเคร่งครัด ควรสังเกตว่าประสิทธิภาพของโปรเซสเซอร์ 80286 เพิ่มขึ้นไม่เพียงเนื่องจากความถี่สัญญาณนาฬิกาที่เพิ่มขึ้น แต่ยังเกิดจากการปรับปรุงที่สำคัญในไปป์ไลน์ด้วย

รุ่นที่สาม (80386/80387 ที่มี "ส่วนต่อท้าย" DX และ SX ซึ่งกำหนดความกว้างของบัสภายนอก) ถูกทำเครื่องหมายโดยการเปลี่ยนไปใช้สถาปัตยกรรมแบบ 32 บิต นอกเหนือจากการขยายช่วงของค่าที่แสดง (16 บิตแสดงถึงจำนวนเต็มในช่วงตั้งแต่ 0 ถึง 65535 หรือจาก –32768 ถึง +32767 และ 32 บิต - มากกว่าสี่พันล้าน) ความจุของหน่วยความจำที่กำหนดแอดเดรสได้เพิ่มขึ้น ระบบปฏิบัติการ Microsoft Windows เริ่มมีการใช้กันอย่างแพร่หลายกับโปรเซสเซอร์เหล่านี้

รุ่นที่สี่ (80486 รวมทั้ง DX และ SX) ไม่ได้เพิ่มการเปลี่ยนแปลงสำคัญๆ ให้กับสถาปัตยกรรม อย่างไรก็ตาม มีการใช้มาตรการหลายอย่างเพื่อปรับปรุงประสิทธิภาพ ในโปรเซสเซอร์เหล่านี้ ไปป์ไลน์การดำเนินการมีความซับซ้อนอย่างมาก ผู้ผลิตละทิ้งโปรเซสเซอร์ร่วมภายนอก - เริ่มวางบนคริสตัลเดียวกันกับตัวกลาง

รุ่นที่ห้า (โปรเซสเซอร์ Pentium จาก Intel และ K5 จาก AMD) ให้สถาปัตยกรรม superscalar ในการจัดหาไพพ์ไลน์ด้วยคำแนะนำและข้อมูลจากหน่วยความจำอย่างรวดเร็ว บัสข้อมูลของโปรเซสเซอร์เหล่านี้จึงถูกสร้างขึ้นแบบ 64 บิต ภายหลังรุ่นนี้มีส่วนขยาย ММХ (ชุดคำสั่งส่วนขยายคณิตศาสตร์ของ Matrics) - ชุดคำสั่งสำหรับการขยายการดำเนินการทางคณิตศาสตร์ของเมทริกซ์ (เดิมคือชุดคำสั่งส่วนขยายมัลติมีเดีย) โปรเซสเซอร์ 32 บิตแบบดั้งเดิมสามารถเพิ่มตัวเลข 8 บิตได้สองตัว โดยวางแต่ละหมายเลขไว้ในบิตลำดับที่ต่ำกว่าของรีจิสเตอร์ 32 บิต ในกรณีนี้ ไม่ได้ใช้งาน 24 บิตที่สำคัญที่สุดของรีจิสเตอร์ ดังนั้น ปรากฎว่าด้วยการดำเนินการเพิ่มเติมหนึ่งครั้ง ADD เพียงแค่เพิ่มตัวเลข 8 บิตสองตัวเท่านั้น คำสั่ง MMX ทำงานด้วย 64 บิตพร้อมกัน โดยสามารถจัดเก็บตัวเลข 8 บิตได้แปดตัว และสามารถเพิ่มตัวเลข 8 บิตอื่นๆ ในการดำเนินการ ADD ครั้งเดียวได้ สามารถใช้การลงทะเบียน MMX เพื่อเพิ่มคำ 16 บิตสี่คำหรือคำยาว 32 บิตสองคำพร้อมกันได้ หลักการนี้เรียกว่า SIMD (คำสั่งเดียว / หลายข้อมูล) คำสั่งใหม่นี้มีจุดประสงค์หลักเพื่อเพิ่มความเร็วในการรันโปรแกรมมัลติมีเดีย แต่ใช้กับเทคโนโลยีมัลติมีเดีย เลขคณิตชนิดใหม่ได้ปรากฏใน MMX - ด้วยความอิ่มตัว: หากผลลัพธ์ของการดำเนินการไม่พอดีกับบิตกริด ดังนั้นโอเวอร์โฟลว์ (หรือ "การป้องกันโอเวอร์โฟลว์") จะไม่เกิดขึ้น แต่เป็นค่าที่เป็นไปได้สูงสุด (หรือต่ำสุด) ของจำนวนที่ตั้งไว้

โปรเซสเซอร์รุ่นที่หกมีต้นกำเนิดมาจาก Pentium Pro และยังคงดำเนินต่อไปในโปรเซสเซอร์ Pentium III, Celeron และ Xeon (จากโปรเซสเซอร์ AMD, K6, K6-2, K6-2 +, K6-III เป็นตัวอย่าง) พื้นฐานที่นี่คือการดำเนินการแบบไดนามิก การดำเนินการคำสั่งไม่อยู่ในลำดับที่กำหนด รหัสโปรแกรมแต่จะสะดวกกว่าสำหรับตัวประมวลผลอย่างไร ควรสังเกตว่ามีความคล้ายคลึงกันระหว่างโปรเซสเซอร์รุ่นที่ห้าและรุ่นที่หก กล่าวคือ การเพิ่มส่วนขยายรุ่นที่ห้าได้รับการเสริมด้วยการขยาย MMX รุ่นที่หกได้รับส่วนขยายที่เพิ่มความสามารถ MMX AMD มีส่วนขยายนี้ 3dNnoy ! และ Intel มี SSE (ส่วนขยายการสตรีม SIMD)

รุ่นที่เจ็ดเริ่มต้นด้วยโปรเซสเซอร์ Athlon ของ AMD โปรเซสเซอร์มีคุณสมบัติที่ช่วยปรับการพัฒนา superscalarityและ ซุปเปอร์ไปป์ไลน์... ต่อมา Intel ยังเปิดตัวโปรเซสเซอร์ Pentium 4 รุ่นที่เจ็ดด้วย

2. เทคโนโลยีการผลิต

ขณะนี้ เราสามารถสังเกตแนวโน้มที่น่าสนใจในตลาดได้: ในแง่หนึ่ง บริษัทผู้ผลิตกำลังพยายามแนะนำกระบวนการทางเทคนิคและเทคโนโลยีใหม่ ๆ อย่างรวดเร็วในผลิตภัณฑ์ของตน ในทางกลับกัน มีข้อจำกัดเทียมในการเติบโตของความถี่โปรเซสเซอร์ เนื่องจากความรู้สึกของความพร้อมที่ไม่สมบูรณ์ของตลาดสำหรับการเปลี่ยนแปลงตระกูลโปรเซสเซอร์ครั้งต่อไปส่งผลกระทบ และผู้ผลิตยังไม่ได้รับผลกำไรเพียงพอจากการขายซีพียูที่ผลิตได้ในขณะนี้ ควรสังเกตว่าสำหรับบริษัทต่างๆ ราคาของผลิตภัณฑ์สำเร็จรูปเป็นพื้นฐานเมื่อเปรียบเทียบกับผลประโยชน์อื่นๆ แต่ สำคัญมากอัตราการพัฒนาไมโครโปรเซสเซอร์ที่ลดลงนั้นสัมพันธ์กับความเข้าใจถึงความจำเป็นในการแนะนำเทคโนโลยีใหม่ที่จะเพิ่มผลผลิตด้วยต้นทุนทางเทคโนโลยีขั้นต่ำ

ผู้ผลิตต้องแก้ปัญหาหลายอย่างเมื่อเปลี่ยนไปใช้กระบวนการทางเทคนิคใหม่ มาตรฐานเทคโนโลยี 90nm ได้พิสูจน์แล้วว่าเป็นอุปสรรคด้านเทคโนโลยีที่สำคัญสำหรับผู้ผลิตชิปหลายราย สิ่งนี้ได้รับการยืนยันโดย TSMC บริษัท นี้มีส่วนร่วมในการผลิตชิปสำหรับผู้ผลิตรายใหญ่หลายรายของตลาด ได้แก่ AMD, nVidia, ATI, VIA เป็นเวลานานที่เธอไม่สามารถดีบักการผลิตชิปโดยใช้เทคโนโลยี 0.09 ไมครอน ซึ่งทำให้ได้ผลึกที่ใช้งานได้ต่ำ ส่งผลให้ AMD เลื่อนการเปิดตัวโปรเซสเซอร์ SOI (Silicon-on-Insulator) ออกไปเป็นเวลานาน นี่เป็นเพราะความจริงที่ว่ามันอยู่ในมิติขององค์ประกอบที่มีข้อเสียที่ไม่เคยปรากฏมาก่อนเช่นกระแสรั่วไหลพารามิเตอร์จำนวนมากและการปล่อยความร้อนที่เพิ่มขึ้นแบบทวีคูณ ทางเลือกหนึ่งคือการใช้เทคโนโลยีซิลิคอนบนฉนวน SOI ซึ่ง AMD เพิ่งเปิดตัวในโปรเซสเซอร์ 64 บิต อย่างไรก็ตาม เธอต้องใช้ความพยายามอย่างมากและการเอาชนะอุปสรรคทางเทคโนโลยีจำนวนมาก แต่ควรสังเกตว่า เทคโนโลยีนี้มีข้อดีหลายอย่างที่สามารถชดเชยข้อบกพร่องได้ สาระสำคัญของเทคโนโลยีนี้ค่อนข้างสมเหตุสมผล - ทรานซิสเตอร์ถูกแยกออกจากพื้นผิวซิลิกอนโดยชั้นฉนวนบาง ๆ อีกชั้นหนึ่ง คุณสมบัติเชิงบวก ได้แก่ ไม่มีการควบคุมการเคลื่อนที่ของอิเล็กตรอนภายใต้ช่องสัญญาณของทรานซิสเตอร์ซึ่งส่งผลต่อลักษณะทางไฟฟ้า - เวลา หลังจากจ่ายกระแสปลดล็อคไปที่ประตู เวลาของช่องไอออนไนซ์ไปยังสถานะการทำงาน จนถึงช่วงเวลาที่กระแสไฟทำงานไหลผ่าน ลดลง สิ่งนี้นำมาซึ่งการปรับปรุงในพารามิเตอร์หลักที่สองของประสิทธิภาพของทรานซิสเตอร์ เวลา ของการเปิด/ปิด เป็นไปได้ด้วยความเร็วเท่ากันเพื่อลดกระแสการปลดล็อก - สาม หรือหาทางแก้ไขระหว่างความเป็นไปได้ของการเพิ่มความเร็วของงานกับความเป็นไปได้ของการลดแรงดันไฟ ในขณะที่ยังคงรักษากระแสการปลดล็อกเท่าเดิม การเพิ่มประสิทธิภาพของทรานซิสเตอร์อาจสูงถึง 30% หากคุณปล่อยความถี่เท่าเดิม โดยเน้นที่การประหยัดพลังงาน ในกรณีนี้ ประสิทธิภาพอาจสูงถึง 50% เป็นผลให้ลักษณะของช่องสัญญาณสามารถคาดเดาได้มากขึ้นและตัวทรานซิสเตอร์เองก็มีความทนทานต่อข้อผิดพลาดประปรายมากขึ้นซึ่งเป็นตัวอย่างคืออนุภาคของจักรวาลเข้าสู่พื้นผิวของช่องสัญญาณและทำให้เกิดการแตกตัวเป็นไอออนโดยไม่คาดคิด การเข้าสู่พื้นผิวที่อยู่ใต้ชั้นฉนวนจะไม่ส่งผลต่อการทำงานของทรานซิสเตอร์ แต่อย่างใด ข้อเสียเปรียบเพียงอย่างเดียวของ SOI คือจำเป็นต้องลดความลึกของพื้นที่ emitter / collector ซึ่งส่งผลให้ความต้านทานเพิ่มขึ้นเมื่อความหนาลดลง

อีกสาเหตุหนึ่งที่ทำให้อัตราการเติบโตของความถี่ชะลอตัวก็คือกิจกรรมที่ต่ำของผู้ผลิตในตลาด ตัวอย่างเช่น บริษัท AMD แต่ละแห่งทำงานเกี่ยวกับการเปิดตัวโปรเซสเซอร์ 64 บิตอย่างแพร่หลาย ในช่วงเวลานี้ Intel ได้ปรับปรุงกระบวนการทางเทคนิคใหม่ โดยแก้ไขจุดบกพร่องเพื่อเพิ่มผลผลิตของคริสตัลที่ใช้งานได้

การแนะนำเทคโนโลยีใหม่เข้าสู่กระบวนการทางเทคนิคนั้นชัดเจน แต่นักเทคโนโลยีจะยากขึ้นในแต่ละครั้ง โปรเซสเซอร์ Pentium ตัวแรก (1993) ผลิตขึ้นโดยใช้เทคโนโลยีการผลิต 0.8 ไมครอน จากนั้นตัวละ 0.6 ไมครอน ในปี 1995 เป็นครั้งแรกสำหรับโปรเซสเซอร์รุ่นที่ 6 ที่ใช้เทคโนโลยีการผลิต 0.35 ไมครอน ในปี 1997 มันเปลี่ยนเป็น 0.25 ไมครอนและในปี 1999 - เป็น 0.18 ไมครอน โปรเซสเซอร์สมัยใหม่ผลิตขึ้นตามเทคโนโลยี 0.13 และ 0.09 ไมครอนที่เปิดตัวในปี 2547

จำเป็นต้องอธิบายโครงสร้างของทรานซิสเตอร์ กล่าวคือ ชั้นบาง ๆ ของซิลิกอนไดออกไซด์ ฉนวนที่อยู่ระหว่างเกตและช่องสัญญาณ และทำหน้าที่กั้นอิเล็กตรอน ป้องกันการรั่วของกระแสเกต ดังนั้นยิ่งชั้นนี้หนาขึ้นเท่าไรก็ยิ่งทำหน้าที่ฉนวนได้ดีขึ้นเท่านั้น แต่เป็นส่วนสำคัญของช่องสัญญาณและไม่ชัดเจนนักว่าหากผู้ผลิตจะลดความยาวของช่อง (ขนาดทรานซิสเตอร์) ความหนาจะต้อง จะลดลงอย่างรวดเร็ว ในช่วงหลายทศวรรษที่ผ่านมา ความหนาของชั้นนี้มีค่าเฉลี่ยประมาณ 1/45 ของความยาวทั้งหมดของช่อง แต่กระบวนการนี้มีจุดจบ - ตามที่ Intel อ้าง หากคุณยังคงใช้ SiO2 ต่อไป อย่างที่ผ่านไป 30 ปี ความหนาของชั้นขั้นต่ำจะอยู่ที่ 2.3 นาโนเมตร มิฉะนั้นการรั่วไหลจะไม่สมจริง จนกระทั่งเมื่อเร็วๆ นี้ ยังไม่มีการดำเนินการใดๆ เพื่อลดการรั่วไหลของช่องสัญญาณย่อย ในปัจจุบันสถานการณ์เริ่มเปลี่ยนแปลงไป เนื่องจากกระแสไฟในการทำงานควบคู่ไปกับเวลาตอบสนองของเกท เป็นหนึ่งในสองพารามิเตอร์หลักที่บ่งบอกถึงความเร็วของทรานซิสเตอร์ และ การรั่วไหลในสถานะปิดจะสะท้อนโดยตรง ( ในการรักษาประสิทธิภาพที่ต้องการของทรานซิสเตอร์) ดังนั้นจึงจำเป็นต้องเพิ่มกระแสไฟในการทำงานพร้อมกับผลที่ตามมาทั้งหมด

ขั้นตอนหลักของการผลิต

การผลิตไมโครโปรเซสเซอร์เป็นกระบวนการที่ซับซ้อนซึ่งมีมากกว่า 300 ขั้นตอน ไมโครโปรเซสเซอร์ถูกสร้างขึ้นบนพื้นผิวของแผ่นเวเฟอร์ซิลิกอนทรงกลมบาง - พื้นผิวอันเป็นผลมาจากขั้นตอนการประมวลผลที่แตกต่างกันโดยใช้สารเคมี ก๊าซ และรังสีอัลตราไวโอเลต

พื้นผิวมักจะมีเส้นผ่านศูนย์กลาง 200 มม. อย่างไรก็ตาม Intel ได้เปลี่ยนไปใช้เวเฟอร์ขนาด 450 มม. แล้ว การเปลี่ยนไปใช้เพลทที่มีเส้นผ่านศูนย์กลางใหญ่ขึ้นจะช่วยลดต้นทุนการผลิตไมโครเซอร์กิต เพิ่มประสิทธิภาพการใช้พลังงาน และลดการปล่อยก๊าซอันตรายสู่ชั้นบรรยากาศ พื้นที่ผิวของเวเฟอร์ 450 มม. นั้นมากกว่าสองเท่าของเวเฟอร์ 300 มม. เป็นผลให้สามารถผลิตผลิตภัณฑ์สำเร็จรูปได้มากเป็นสองเท่าจากวัสดุพิมพ์ 450 มม. เดียว

เวเฟอร์ทำมาจากซิลิคอนซึ่งผ่านการกลั่น หลอม และเติบโตเป็นผลึกทรงกระบอกยาว จากนั้นคริสตัลจะถูกตัดเป็นแผ่นเวเฟอร์บาง ๆ และขัดจนพื้นผิวเรียบเหมือนกระจกและปราศจากข้อบกพร่อง จากนั้นจะทำการเกิดออกซิเดชันทางความร้อนแบบวนซ้ำ, photolithography, การแพร่กระจายสิ่งเจือปน, epitaxy ตามลำดับ

ในกระบวนการผลิตไมโครเซอร์กิต ชั้นวัสดุที่บางที่สุดจะถูกนำไปใช้กับเพลตเปล่าในรูปแบบของรูปแบบที่คำนวณอย่างรอบคอบ แผ่นเดียวพอดีกับไมโครโปรเซสเซอร์หลายร้อยตัว กระบวนการทั้งหมดของโปรเซสเซอร์การผลิตสามารถแบ่งออกเป็นหลายขั้นตอน: การเติบโตของซิลิคอนไดออกไซด์และการสร้างบริเวณที่เป็นสื่อกระแสไฟฟ้า การทดสอบและการผลิต

การเติบโตของซิลิคอนไดออกไซด์และสร้างบริเวณที่เป็นสื่อกระแสไฟฟ้า

กระบวนการผลิตไมโครโปรเซสเซอร์เริ่มต้นด้วย "การเติบโต" ชั้นฉนวนของซิลิคอนไดออกไซด์บนพื้นผิวของแผ่นขัดเงา ขั้นตอนนี้ดำเนินการในเตาอบไฟฟ้าที่อุณหภูมิสูงมาก ความหนาของชั้นออกไซด์ขึ้นอยู่กับอุณหภูมิและเวลาที่จานใช้ในเตาอบ

ตามด้วยโฟโตลิโทกราฟี ซึ่งเป็นกระบวนการที่มีการสร้างแผนผังขึ้นบนพื้นผิวของจาน ขั้นแรก เลเยอร์ชั่วคราวของวัสดุที่ไวต่อแสงถูกนำไปใช้กับเพลต - โฟโตรีซิสต์ ซึ่งฉายภาพของพื้นที่โปร่งใสของเทมเพลตหรือโฟโตมาสก์โดยใช้รังสีอัลตราไวโอเลต มาสก์ถูกสร้างขึ้นในระหว่างการออกแบบโปรเซสเซอร์ และใช้เพื่อสร้างรูปแบบวงจรในแต่ละเลเยอร์ของโปรเซสเซอร์ ภายใต้อิทธิพลของรังสี บริเวณที่เรืองแสงของโฟโตเลเยอร์จะละลายได้ และพวกมันจะถูกลบออกด้วยความช่วยเหลือของตัวทำละลาย (กรดไฮโดรฟลูออริก) ซึ่งเผยให้เห็นซิลิกอนไดออกไซด์ที่อยู่ด้านล่าง

ซิลิกาที่สัมผัสออกจะถูกลบออกโดยกระบวนการที่เรียกว่าการแกะสลัก จากนั้นโฟโตเลเยอร์ที่เหลือจะถูกลบออก ส่งผลให้รูปแบบของซิลิกอนไดออกไซด์ยังคงอยู่บนเวเฟอร์เซมิคอนดักเตอร์ ผลจากการดำเนินการเพิ่มเติมของโฟโตลิโทกราฟีและการแกะสลัก ทำให้ซิลิคอนโพลีคริสตัลไลน์ที่มีคุณสมบัติของตัวนำถูกนำไปใช้กับเวเฟอร์ด้วย ในระหว่างการดำเนินการครั้งต่อไป เรียกว่า "ยาสลบ" บริเวณที่สัมผัสของแผ่นเวเฟอร์ซิลิคอนจะถูกทิ้งระเบิดด้วยไอออนขององค์ประกอบทางเคมีต่างๆ ซึ่งก่อให้เกิดประจุลบและประจุบวกในซิลิคอน ซึ่งจะเปลี่ยนค่าการนำไฟฟ้าของพื้นที่เหล่านี้

การกำหนดเลเยอร์ใหม่ด้วยการแกะสลักวงจรตามมาหลายครั้งในขณะที่สำหรับการเชื่อมต่อระหว่างเลเยอร์ใน "หน้าต่าง" ของเลเยอร์ซึ่งเต็มไปด้วยโลหะทำให้เกิดการเชื่อมต่อทางไฟฟ้าระหว่างชั้น Intel ใช้ตัวนำทองแดงในกระบวนการผลิต 0.13 ไมครอน Intel ใช้อะลูมิเนียมในกระบวนการผลิต 0.18 ไมครอนและกระบวนการผลิตรุ่นก่อน ทั้งทองแดงและอลูมิเนียมเป็นตัวนำไฟฟ้าที่ดี เมื่อใช้กระบวนการทางเทคนิคขนาด 0.18 ไมครอน ใช้ 6 ชั้น ขณะที่แนะนำกระบวนการทางเทคนิค 90 นาโนเมตรในปี 2547 ใช้ซิลิกอน 7 ชั้น

แต่ละชั้นของโปรเซสเซอร์มีรูปแบบของตัวเอง เมื่อรวมกันแล้วชั้นเหล่านี้ทั้งหมดจะสร้างวงจรอิเล็กทรอนิกส์สามมิติ การใช้เลเยอร์ซ้ำ 20-25 ครั้งในช่วงหลายสัปดาห์

การทดสอบ

เพื่อที่จะทนต่อความเค้นที่พื้นผิวถูกกดทับในระหว่างการทับถมของชั้น ซิลิคอนเวเฟอร์ในขั้นต้นจะต้องมีความหนาเพียงพอ ดังนั้นก่อนที่จะตัดเพลทเป็นไมโครโปรเซสเซอร์ที่แยกจากกัน ความหนาของแผ่นจะลดลง 33% โดยใช้กระบวนการพิเศษและกำจัดสิ่งปนเปื้อนออกจากด้านหลัง หลังจากนั้นชั้นของวัสดุพิเศษจะถูกนำไปใช้กับด้านหลังของแผ่น "แปรรูป" ซึ่งช่วยเพิ่มการยึดคริสตัลกับเคสในภายหลัง ชั้นนี้ให้การติดต่อทางไฟฟ้าระหว่างพื้นผิวด้านหลังของวงจรรวมและบรรจุภัณฑ์หลังการประกอบ

หลังจากนั้น เพลทจะถูกทดสอบเพื่อตรวจสอบคุณภาพของกระบวนการแปรรูปทั้งหมด เพื่อตรวจสอบความถูกต้องของโปรเซสเซอร์ ส่วนประกอบแต่ละส่วนจะถูกตรวจสอบ หากตรวจพบความผิดปกติ ข้อมูลที่ได้รับจะได้รับการวิเคราะห์เพื่อระบุระยะที่เกิดข้อผิดพลาด

จากนั้นโพรบไฟฟ้าจะเชื่อมต่อกับโปรเซสเซอร์แต่ละตัวและจ่ายไฟให้ โปรเซสเซอร์ได้รับการทดสอบโดยคอมพิวเตอร์ โดยจะกำหนดว่าคุณลักษณะของโปรเซสเซอร์ที่ผลิตขึ้นนั้นตรงตามพารามิเตอร์ที่ระบุหรือไม่

การผลิตเคส

หลังจากการทดสอบ แผ่นเพลทจะถูกส่งไปยังร้านประกอบ ซึ่งจะถูกตัดเป็นสี่เหลี่ยมเล็กๆ แต่ละแผ่นมีวงจรรวม โดยใช้เลื่อยที่มีความแม่นยำเป็นพิเศษ คริสตัลที่แตกสลายจะถูกทิ้ง

จากนั้นคริสตัลแต่ละอันจะถูกวางไว้ในกล่องแยก เคสปกป้องคริสตัลจากอิทธิพลภายนอกและให้การเชื่อมต่อทางไฟฟ้ากับบอร์ดที่จะทำการติดตั้ง ลูกบอลบัดกรีเล็กๆ ซึ่งอยู่ที่จุดเฉพาะบนคริสตัล จะถูกบัดกรีไปยังตัวนำไฟฟ้าของบรรจุภัณฑ์ ในขั้นตอนนี้ สัญญาณไฟฟ้าสามารถไหลจากบอร์ดไปยังชิปและในทางกลับกัน

หลังจากติดตั้งคริสตัลลงในเคสแล้ว โปรเซสเซอร์จะได้รับการทดสอบซ้ำเพื่อพิจารณาประสิทธิภาพ โปรเซสเซอร์ที่บกพร่องจะถูกทิ้ง และโปรเซสเซอร์ที่ซ่อมบำรุงได้จะต้องได้รับการทดสอบความเครียด: ผลกระทบของสภาวะอุณหภูมิและความชื้นต่างๆ รวมทั้งการคายประจุไฟฟ้าสถิต หลังจากการทดสอบความเครียดแต่ละครั้ง โปรเซสเซอร์จะได้รับการทดสอบเพื่อกำหนดสถานะการทำงานของโปรเซสเซอร์ จากนั้นโปรเซสเซอร์จะถูกจัดเรียงตามลักษณะการทำงานที่ความถี่สัญญาณนาฬิกาและแรงดันไฟฟ้าที่ต่างกัน

3. ขั้นตอนทางเทคโนโลยีของการผลิตไมโครโปรเซสเซอร์

วิธีทำชิป

การผลิตเศษเป็นการวางเลเยอร์บางๆ ที่มี "รูปแบบ" ที่ซับซ้อนบนพื้นผิวซิลิกอน ขั้นแรกสร้างชั้นฉนวนซึ่งทำงานเหมือนชัตเตอร์ไฟฟ้า วัสดุพิมพ์ถูกตัดเป็นทรงกระบอกคริสตัลเดียวที่มี "แพนเค้ก" แบบบาง เพื่อให้สามารถตัดเป็นผลึกของโปรเซสเซอร์แยกกันได้ในภายหลัง โพรบไฟฟ้าใช้เพื่อทดสอบคริสตัลแต่ละชิ้นบนพื้นผิว ในที่สุด วัสดุพิมพ์ถูกตัดเป็นแกนแต่ละแกน แกนที่ไม่ทำงานจะถูกละทิ้งทันที ขึ้นอยู่กับลักษณะเฉพาะ แกนกลางจะกลายเป็นโปรเซสเซอร์ตัวใดตัวหนึ่งและถูกห่อไว้ในแพ็คเกจที่ช่วยให้ติดตั้งโปรเซสเซอร์ได้ง่ายขึ้น เมนบอร์ด... บล็อคการทำงานทั้งหมดต้องผ่านการทดสอบความเครียดอย่างเข้มข้น

ทุกอย่างเริ่มต้นด้วยพื้นผิว

ขั้นตอนแรกในการผลิตโปรเซสเซอร์จะทำในห้องปลอดเชื้อ ควรสังเกตว่านี่เป็นการผลิตที่เข้มข้นมาก สามารถใช้เงินมากกว่า 2-3 พันล้านดอลลาร์ในการก่อสร้างโรงงานที่ทันสมัยพร้อมอุปกรณ์ทั้งหมด หลังจากการปรับและทดสอบอุปกรณ์อย่างเต็มรูปแบบแล้วเท่านั้น โรงงานจึงจะผลิตโปรเซสเซอร์เป็นชุดได้

โดยทั่วไป กระบวนการผลิตชิปประกอบด้วยชุดของขั้นตอนการประมวลผลซับสเตรต ซึ่งรวมถึงการสร้างสารตั้งต้นเอง ซึ่งต่อมาจะถูกตัดเป็นผลึกแต่ละชิ้น

การผลิตพื้นผิว

ขั้นตอนแรกคือการปลูกผลึกเดี่ยว สำหรับสิ่งนี้ คริสตัลเมล็ดจะถูกฝังอยู่ในอ่างของซิลิคอนหลอมเหลว ซึ่งอยู่เหนือจุดหลอมเหลวของพอลิคริสตัลไลน์ซิลิกอน เป็นสิ่งสำคัญที่คริสตัลจะเติบโตช้าประมาณหนึ่งวันเพื่อให้แน่ใจว่าอะตอมอยู่ในตำแหน่งที่ถูกต้อง คริสตัลไลน์หรืออะมอร์ฟัสซิลิกอนประกอบด้วยคริสตัลต่างๆ มากมาย ซึ่งจะนำไปสู่โครงสร้างพื้นผิวที่ไม่ต้องการด้วยคุณสมบัติทางไฟฟ้าที่ไม่ดี

เมื่อซิลิคอนละลายแล้ว ก็สามารถเจือด้วยสารอื่นๆ ที่เปลี่ยนคุณสมบัติทางไฟฟ้าของซิลิคอนได้ กระบวนการทั้งหมดเกิดขึ้นในห้องที่ปิดสนิทซึ่งมีองค์ประกอบอากาศพิเศษเพื่อไม่ให้ซิลิกอนออกซิไดซ์

คริสตัลเดี่ยวถูกตัดเป็น "แพนเค้ก" โดยใช้เครื่องเลื่อยเพชรทรงกลมที่มีความแม่นยำสูงซึ่งไม่สร้างความผิดปกติขนาดใหญ่บนพื้นผิวของพื้นผิว ในกรณีนี้ พื้นผิวของวัสดุพิมพ์ยังไม่เรียบอย่างสมบูรณ์ ดังนั้นจึงจำเป็นต้องดำเนินการเพิ่มเติม การปรากฏตัวของผลึกเดี่ยวสามารถเห็นได้ในรูปที่ 1

ข้าว. 1. ลักษณะที่ปรากฏของผลึกเดี่ยว

ขั้นแรก ใช้แผ่นเหล็กหมุนและสารกัดกร่อนอลูมินา ชั้นหนาจะถูกลบออกจากพื้นผิว (กระบวนการที่เรียกว่าการขัด) ด้วยเหตุนี้ จึงขจัดสิ่งผิดปกติที่มีขนาดตั้งแต่ 0.05 มม. ถึงประมาณ 0.002 มม. (2000 นาโนเมตร) จากนั้นปัดขอบของแผ่นรองด้านหลังออก เนื่องจากขอบที่แหลมคมสามารถลอกชั้นออกได้ นอกจากนี้ กระบวนการกัดจะถูกนำมาใช้เมื่อใช้สารเคมีหลายชนิด (กรดไฮโดรฟลูออริก กรดอะซิติก กรดไนตริก) พื้นผิวจะเรียบขึ้นอีกประมาณ 50 ไมครอน พื้นผิวไม่เสื่อมสภาพเนื่องจากกระบวนการทั้งหมดเป็นสารเคมีอย่างสมบูรณ์ ช่วยให้คุณสามารถขจัดข้อผิดพลาดที่เหลืออยู่ในโครงสร้างผลึกอันเป็นผลมาจากการที่พื้นผิวจะใกล้เคียงกับอุดมคติ

ขั้นตอนสุดท้ายคือการขัด ซึ่งปรับพื้นผิวให้เรียบจนมีความหยาบสูงสุด 3 นาโนเมตร การขัดจะดำเนินการโดยใช้ส่วนผสมของโซเดียมไฮดรอกไซด์และซิลิกาเม็ด

ปัจจุบัน พื้นผิวไมโครโปรเซสเซอร์มีเส้นผ่านศูนย์กลาง 300 มม. หรือ 450 มม. ซึ่งช่วยให้ผู้ผลิตชิปได้รับโปรเซสเซอร์หลายตัวจากแต่ละโปรเซสเซอร์ โดยทั่วไป ยิ่งเส้นผ่านศูนย์กลางของวัสดุพิมพ์ใหญ่เท่าใด ก็ยิ่งสามารถผลิตเศษที่มีขนาดเท่ากันได้มากเท่านั้น ตัวอย่างเช่น วัสดุพิมพ์ 300 มม. ให้จำนวนโปรเซสเซอร์มากกว่า 200 มม. มากกว่าสองเท่า

ยาสลบและการแพร่กระจาย

ยาสลบทำได้ทั้งกับพื้นผิวสำเร็จรูปและระหว่างกระบวนการโฟโตลิโทกราฟี ทำให้สามารถเปลี่ยนคุณสมบัติทางไฟฟ้าของบริเวณและชั้นบางชั้นได้ ไม่ใช่โครงสร้างทั้งหมดของผลึก

สารเจือปนสามารถเพิ่มได้โดยการแพร่กระจาย อะตอมของสารเจือปนเติมพื้นที่ว่างภายในโครงผลึกระหว่างโครงสร้างซิลิกอน ในบางกรณี โครงสร้างที่มีอยู่ยังสามารถผสมได้ การแพร่กระจายจะดำเนินการโดยใช้ก๊าซ (ไนโตรเจนและอาร์กอน) หรือใช้ของแข็งหรือแหล่งสารเจือปนอื่นๆ

สร้างหน้ากาก

ในการสร้างส่วนของวงจรรวมจะใช้กระบวนการโฟโตลิโทกราฟี ในกรณีนี้ไม่จำเป็นต้องฉายรังสีพื้นผิวทั้งหมดของพื้นผิว ในกรณีเช่นนี้ สิ่งสำคัญคือต้องใช้หน้ากากที่เรียกว่าซึ่งส่งรังสีความเข้มสูงไปยังบางพื้นที่เท่านั้น มาสก์สามารถเปรียบเทียบได้กับเนกาทีฟขาวดำ วงจรรวมมีหลายชั้น (20 ขึ้นไป) และแต่ละชั้นต้องมีมาสก์ของตัวเอง

โครงสร้างฟิล์มโครเมียมบางๆ ถูกนำไปใช้กับพื้นผิวของแผ่นแก้วควอทซ์เพื่อสร้างลวดลาย ในเวลาเดียวกันเครื่องมือราคาแพงที่ใช้การไหลของอิเล็กตรอนหรือเลเซอร์กำหนดข้อมูลที่จำเป็นของวงจรรวมซึ่งเป็นผลมาจากรูปแบบโครเมียมบนพื้นผิวของพื้นผิวควอทซ์ ควรสังเกตว่าการเปลี่ยนแปลงใดๆ ในวงจรรวมนำไปสู่ความจำเป็นในการผลิตมาสก์ใหม่ ดังนั้นกระบวนการแก้ไขทั้งหมดจึงมีราคาแพงมาก

การถ่ายภาพทำให้สามารถสร้างโครงสร้างบนพื้นผิวซิลิกอนได้ กระบวนการนี้ทำซ้ำหลายครั้งจนกว่าจะสร้างหลายชั้น ชั้นสามารถรวมวัสดุต่าง ๆ นอกจากนี้ยังมีการเชื่อมต่อกับสายไมโครสโคป ก่อนเริ่มกระบวนการโฟโตลิโทกราฟี ซับสเตรตจะถูกทำความสะอาดและให้ความร้อนเพื่อขจัดอนุภาคเหนียวและน้ำ ในขั้นต่อไป พื้นผิวเคลือบด้วยซิลิกอนไดออกไซด์โดยใช้อุปกรณ์พิเศษ จากนั้นจึงใช้สารยึดเกาะกับซับสเตรตเพื่อให้แน่ใจว่าวัสดุโฟโตรีซีสต์ที่จะนำไปใช้ในขั้นตอนต่อไปยังคงอยู่บนซับสเตรต วัสดุ photoresist ถูกนำไปใช้กับตรงกลางของพื้นผิวซึ่งจะเริ่มหมุนด้วยความเร็วสูงเพื่อให้ชั้นมีการกระจายอย่างสม่ำเสมอทั่วพื้นผิวทั้งหมดของพื้นผิว จากนั้นจึงอุ่นวัสดุพิมพ์ใหม่ กระบวนการ photolithography แสดงในรูปที่ 2

ข้าว. 2. กระบวนการโฟโตลิโทกราฟี

จากนั้นผ่านหน้ากาก ฝาครอบจะถูกฉายรังสีด้วยเลเซอร์ควอนตัม รังสีอัลตราไวโอเลตแบบแข็ง รังสีเอกซ์ ลำแสงอิเล็กตรอนหรือไอออน แหล่งกำเนิดแสงหรือพลังงานทั้งหมดนี้สามารถนำมาใช้ได้ ลำแสงอิเล็กตรอนส่วนใหญ่ใช้เพื่อสร้างหน้ากาก รังสีเอกซ์ และลำแสงไอออนเพื่อการวิจัย และการผลิตภาคอุตสาหกรรมในปัจจุบันถูกครอบงำด้วยรังสี UV แบบแข็งและเลเซอร์ก๊าซ

รังสี UV แบบแข็งที่มีความยาวคลื่น 13.5 นาโนเมตรจะฉายรังสีวัสดุต้านทานแสงขณะผ่านหน้ากาก เวลาฉายภาพและโฟกัสมีความสำคัญมากสำหรับผลลัพธ์ที่ต้องการ การโฟกัสที่แย่จะทำให้อนุภาคของสารไวแสงเพิ่มขึ้น เนื่องจากรูบางส่วนในหน้ากากจะไม่ถูกฉายรังสีอย่างเหมาะสม สถานการณ์ที่คล้ายคลึงกันจะเกิดขึ้นหากเวลาในการฉายภาพสั้นเกินไป จากนั้นโครงสร้างของวัสดุต้านทานแสงจะกว้างเกินไป พื้นที่ใต้รูจะเปิดรับแสงน้อยเกินไป อย่างไรก็ตาม เวลาฉายภาพที่มากเกินไปจะสร้างพื้นที่ใต้รูขนาดใหญ่เกินไปและทำให้โครงสร้างวัสดุต้านทานแสงแคบเกินไป นี่คือความซับซ้อนของกฎระเบียบในกระบวนการผลิต การปรับที่ไม่ถูกต้องจะนำไปสู่การเบี่ยงเบนที่ร้ายแรงในตัวนำที่เชื่อมต่อ อุปกรณ์ฉายภาพสเต็ปปิ้งแบบพิเศษจะเคลื่อนย้ายวัสดุพิมพ์ไปยังตำแหน่งที่ต้องการ หลังจากนั้น คุณสามารถฉายเส้นหรือส่วนใดส่วนหนึ่ง โดยส่วนใหญ่แล้วจะสอดคล้องกับคริสตัลของโปรเซสเซอร์หนึ่งชิ้น การติดตั้งแบบไมโครเพิ่มเติมสามารถทำการเปลี่ยนแปลงเพิ่มเติมได้ ตัวอย่างเช่น ดีบักเทคโนโลยีที่มีอยู่และปรับกระบวนการทางเทคนิคให้เหมาะสม การติดตั้งขนาดเล็กมักจะทำงานบนพื้นที่น้อยกว่า 1 ตร.ม. มม. ในขณะที่การติดตั้งทั่วไปครอบคลุมพื้นที่ขนาดใหญ่

มีกระบวนการกัดกัดแบบเปียกและแบบแห้งที่ใช้รักษาบริเวณที่เป็นซิลิกา กระบวนการเปียกใช้สารประกอบทางเคมีและกระบวนการแห้งใช้ก๊าซ กระบวนการที่แยกจากกันคือการกำจัดสิ่งตกค้างของวัสดุไวแสง ผู้ผลิตมักจะรวมเอาวัสดุที่เปียกและแห้งมาผสมกันเพื่อให้แน่ใจว่าวัสดุต้านทานแสงถูกกำจัดออกจนหมด นี่เป็นสิ่งสำคัญเนื่องจากวัสดุ photoresist เป็นอินทรีย์ และหากไม่ถูกลบออก อาจทำให้เกิดข้อบกพร่องบนวัสดุพิมพ์

หลังจากการแกะสลักและทำความสะอาด คุณสามารถดำเนินการตรวจสอบพื้นผิว ซึ่งมักจะเกิดขึ้นในแต่ละขั้นตอนที่สำคัญ หรือถ่ายโอนวัสดุพิมพ์ไปยังวงจร photolithography ใหม่ การตรวจสอบพื้นผิวแสดงในรูปที่ 3

ข้าว. 3. การตรวจสอบพื้นผิว

การทดสอบพื้นผิวสำเร็จรูปจะดำเนินการกับการติดตั้งตัวควบคุมโพรบที่ทำงานร่วมกับซับสเตรตทั้งหมด หน้าสัมผัสโพรบถูกซ้อนทับบนหน้าสัมผัสของคริสตัลแต่ละชิ้น ซึ่งช่วยให้ทำการทดสอบทางไฟฟ้าได้ โดยใช้ ซอฟต์แวร์มีการทดสอบฟังก์ชันทั้งหมดของแต่ละคอร์ กระบวนการตัดพื้นผิวแสดงในรูปที่ 4

ข้าว. 4. ขั้นตอนการตัดพื้นผิว

โดยการตัดส่วนรองรับจะได้รับแกนแต่ละแกน หากตรวจพบผลึกที่บกพร่อง (มีข้อผิดพลาด) พวกเขาจะแยกออกจากผลึกที่ดี ก่อนหน้านี้คริสตัลที่เสียหายถูกทำเครื่องหมายทางกายภาพ ตอนนี้ไม่จำเป็นสำหรับสิ่งนี้ ข้อมูลทั้งหมดจะถูกเก็บไว้ในฐานข้อมูลเดียว

นอกจากนี้ แกนที่ใช้งานได้จะต้องอยู่ในแพ็คเกจโปรเซสเซอร์ ซึ่งใช้วัสดุที่เป็นกาว หลังจากนั้นคุณต้องทำการเชื่อมต่อสายไฟที่เชื่อมต่อขาของบรรจุภัณฑ์และคริสตัลเอง (รูปที่ 5) สำหรับสิ่งนี้จะใช้การเชื่อมต่อทองอลูมิเนียมหรือทองแดง

ข้าว. 5. การเชื่อมต่อซับสเตรตแบบมีสาย

โปรเซสเซอร์ที่ทันสมัยส่วนใหญ่ใช้บรรจุภัณฑ์พลาสติกที่มี การกระจายความร้อน... โดยเฉพาะอย่างยิ่ง แกนบรรจุในบรรจุภัณฑ์เซรามิกหรือพลาสติก ซึ่งช่วยป้องกันความเสียหายทางกล โปรเซสเซอร์สมัยใหม่มาพร้อมกับตัวกระจายความร้อน อุปกรณ์ที่ให้การกระจายความร้อนและการป้องกันเศษ (รูปที่ 6)

ข้าว. 6. บรรจุภัณฑ์โปรเซสเซอร์

ขั้นตอนสุดท้ายคือการทดสอบโปรเซสเซอร์ ซึ่งทำที่อุณหภูมิสูงขึ้นตามข้อกำหนดของโปรเซสเซอร์ โปรเซสเซอร์ได้รับการติดตั้งโดยอัตโนมัติในซ็อกเก็ตทดสอบ หลังจากนั้นจะมีการวิเคราะห์ฟังก์ชันที่จำเป็นทั้งหมด

ไมโครวงจรถูกสร้างขึ้นมาอย่างไร

เพื่อให้เข้าใจถึงความแตกต่างที่สำคัญระหว่างเทคโนโลยีทั้งสองนี้ จำเป็นต้องศึกษาเทคโนโลยีการผลิตโปรเซสเซอร์หรือวงจรรวมที่ทันสมัย

อย่างที่คุณทราบจากหลักสูตรฟิสิกส์ของโรงเรียน ในอุปกรณ์อิเล็กทรอนิกส์สมัยใหม่ ส่วนประกอบหลักของวงจรรวมคือเซมิคอนดักเตอร์ชนิด p และ n (ขึ้นอยู่กับประเภทของการนำไฟฟ้า) เซมิคอนดักเตอร์คือสารที่มีค่าการนำไฟฟ้าได้ดีกว่าไดอิเล็กทริก แต่ด้อยกว่าโลหะ ซิลิคอน (Si) สามารถใช้เป็นพื้นฐานของเซมิคอนดักเตอร์ทั้งสองประเภทซึ่งในรูปแบบบริสุทธิ์ (ที่เรียกว่าเซมิคอนดักเตอร์ภายใน) นำกระแสไฟฟ้าไม่ดี แต่การเพิ่ม (แนะนำ) ของสิ่งเจือปนบางอย่างในซิลิกอนทำให้เป็นไปได้ เพื่อเปลี่ยนคุณสมบัติการนำไฟฟ้าอย่างรุนแรง สิ่งสกปรกมีสองประเภท: ผู้บริจาคและผู้รับ สิ่งเจือปนของผู้บริจาคนำไปสู่การก่อตัวของเซมิคอนดักเตอร์ชนิด n ที่มีการนำไฟฟ้าแบบอิเล็กทรอนิกส์ และสิ่งเจือปนของตัวรับนำไปสู่การก่อตัวของเซมิคอนดักเตอร์ชนิด p ที่มีการนำไฟฟ้าแบบรู หน้าสัมผัสของ p- และ n-semiconductors ทำให้สามารถสร้างทรานซิสเตอร์ซึ่งเป็นองค์ประกอบโครงสร้างหลักของไมโครเซอร์กิตที่ทันสมัย ทรานซิสเตอร์ดังกล่าวเรียกว่าทรานซิสเตอร์ CMOS สามารถอยู่ในสถานะพื้นฐานสองสถานะ: เปิด เมื่อพวกมันนำกระแสไฟฟ้า และปิด เมื่อพวกมันไม่นำกระแสไฟฟ้า เนื่องจากทรานซิสเตอร์ CMOS เป็นองค์ประกอบหลักของไมโครเซอร์กิตสมัยใหม่ เรามาพูดถึงรายละเอียดเพิ่มเติมกันดีกว่า

ทรานซิสเตอร์ CMOS ทำงานอย่างไร

ทรานซิสเตอร์ CMOS ชนิด n ที่ง่ายที่สุดมีสามอิเล็กโทรด: แหล่ง เกต และท่อระบายน้ำ ตัวทรานซิสเตอร์เองทำในเซมิคอนดักเตอร์ชนิด p ที่มีการนำของรู และเซมิคอนดักเตอร์ชนิด n ที่มีการนำไฟฟ้าจะเกิดขึ้นในบริเวณท่อระบายน้ำและแหล่งกำเนิด โดยธรรมชาติเนื่องจากการแพร่ของรูจากบริเวณพรีไปยังภูมิภาค n และการแพร่กระจายย้อนกลับของอิเล็กตรอนจากภูมิภาค n ไปยังภูมิภาคพรีเจียน ชั้นที่หมดลง (ชั้นที่ไม่มีตัวพาประจุหลัก) จึงเกิดขึ้น ที่ขอบเขตของการเปลี่ยนแปลงของภูมิภาค p- และ n ในสถานะปกตินั่นคือเมื่อไม่มีแรงดันไฟฟ้าไปที่เกตทรานซิสเตอร์จะอยู่ในสถานะ "ล็อค" นั่นคือไม่สามารถนำกระแสจากแหล่งกำเนิดไปยังท่อระบายน้ำได้ สถานการณ์ไม่เปลี่ยนแปลงแม้ว่าเราจะใช้แรงดันไฟฟ้าระหว่างท่อระบายน้ำและแหล่งกำเนิด (ในกรณีนี้เราไม่คำนึงถึงกระแสรั่วที่เกิดจากการเคลื่อนไหวภายใต้อิทธิพลของสนามไฟฟ้าที่สร้างขึ้นของผู้ให้บริการประจุไฟฟ้าส่วนน้อยที่ คือรูสำหรับภูมิภาค n และอิเล็กตรอนสำหรับภูมิภาคพรี)

อย่างไรก็ตาม หากใช้ศักยภาพเชิงบวกกับประตู (รูปที่ 1) สถานการณ์จะเปลี่ยนไปอย่างสิ้นเชิง ภายใต้อิทธิพลของสนามไฟฟ้าของเกท รูต่างๆ จะถูกผลักลึกเข้าไปใน p-semiconductor และในทางกลับกัน อิเล็กตรอนจะถูกดึงเข้าไปในบริเวณใต้ประตู ทำให้เกิดช่องทางที่อุดมด้วยอิเล็กตรอนระหว่างแหล่งกำเนิดและท่อระบายน้ำ เมื่อใช้แรงดันบวกกับเกต อิเล็กตรอนเหล่านี้จะเริ่มเคลื่อนจากแหล่งกำเนิดไปยังท่อระบายน้ำ ในกรณีนี้ทรานซิสเตอร์นำกระแส - พวกเขาบอกว่าทรานซิสเตอร์ "เปิด" หากแรงดันไฟฟ้าถูกถอดออกจากเกต อิเล็กตรอนจะหยุดดึงเข้าไปในบริเวณระหว่างแหล่งกำเนิดและท่อระบายน้ำ ช่องนำไฟฟ้าจะถูกทำลายและทรานซิสเตอร์หยุดไหลผ่าน นั่นคือ "ล็อก" ดังนั้น ด้วยการเปลี่ยนแรงดันไฟฟ้าที่เกต คุณสามารถเปิดหรือปิดทรานซิสเตอร์ได้ ในลักษณะเดียวกับที่คุณสามารถเปิดหรือปิดสวิตช์สลับแบบธรรมดา ซึ่งควบคุมการไหลของกระแสผ่านวงจร นี่คือเหตุผลที่บางครั้งทรานซิสเตอร์เรียกว่าสวิตช์อิเล็กทรอนิกส์ อย่างไรก็ตาม ไม่เหมือนกับสวิตช์เชิงกลทั่วไป ทรานซิสเตอร์ CMOS แทบไม่มีความเฉื่อยและสามารถเปลี่ยนแปลงจากสถานะเปิดเป็นสถานะปิดได้หลายล้านล้านครั้งต่อวินาที! มันคือลักษณะเฉพาะ นั่นคือ ความสามารถในการสลับแบบทันที ซึ่งจะกำหนดความเร็วของโปรเซสเซอร์ในท้ายที่สุด ซึ่งประกอบด้วยทรานซิสเตอร์ที่ง่ายที่สุดนับสิบล้านตัว

ดังนั้น วงจรรวมที่ทันสมัยจึงประกอบด้วยทรานซิสเตอร์ CMOS ที่ง่ายที่สุดหลายสิบล้านตัว ให้เราอาศัยรายละเอียดเพิ่มเติมเกี่ยวกับกระบวนการผลิตไมโครวงจร ซึ่งขั้นตอนแรกคือการผลิตพื้นผิวซิลิกอน

ขั้นตอนที่ 1 ช่องว่างที่กำลังเติบโต

การสร้างพื้นผิวดังกล่าวเริ่มต้นด้วยการเติบโตของผลึกเดี่ยวซิลิกอนทรงกระบอก เหล็กแท่งคริสตัลเดี่ยวเหล่านี้จะถูกตัดเป็นแผ่นเวเฟอร์หนาประมาณ 1/40 "และเส้นผ่านศูนย์กลาง 200 มม. (8") หรือ 300 มม. (12 ") เหล่านี้เป็นพื้นผิวซิลิกอนที่ใช้สำหรับการผลิตไมโครเซอร์กิต

เมื่อสร้างแผ่นเวเฟอร์จากผลึกเดี่ยวของซิลิคอน ข้อเท็จจริงที่ว่าสำหรับโครงสร้างผลึกในอุดมคติ คุณสมบัติทางกายภาพส่วนใหญ่ขึ้นอยู่กับทิศทางที่เลือก (คุณสมบัติแอนไอโซโทรปี) ถูกนำมาพิจารณาด้วย ตัวอย่างเช่น ความต้านทานของพื้นผิวซิลิกอนจะแตกต่างกันในทิศทางตามยาวและตามขวาง ในทำนองเดียวกัน ขึ้นอยู่กับการวางแนวของโครงตาข่ายคริสตัล คริสตัลซิลิกอนจะมีปฏิกิริยาแตกต่างไปจากอิทธิพลภายนอกใดๆ ที่เกี่ยวข้องกับการประมวลผลต่อไป (เช่น การแกะสลัก การสปัตเตอร์ ฯลฯ) ดังนั้นจานจะต้องถูกตัดออกจากผลึกเดี่ยวในลักษณะที่การวางแนวของตาข่ายคริสตัลที่สัมพันธ์กับพื้นผิวจะได้รับการดูแลอย่างเคร่งครัดในทิศทางที่แน่นอน

ตามที่ระบุไว้แล้ว เส้นผ่านศูนย์กลางของพรีฟอร์มซิลิกอนผลึกเดี่ยวคือ 200 หรือ 300 มม. นอกจากนี้ เส้นผ่านศูนย์กลาง 300 มม. ยังเป็นเทคโนโลยีที่ค่อนข้างใหม่ ซึ่งเราจะพูดถึงด้านล่าง เป็นที่ชัดเจนว่าเพลทที่มีเส้นผ่านศูนย์กลางนี้สามารถรองรับไมโครเซอร์กิตได้มากกว่าหนึ่งวงจรแม้ว่าเรากำลังพูดถึงโปรเซสเซอร์ Intel Pentium 4 ก็ตาม แท้จริงแล้วไมโครเซอร์กิต (โปรเซสเซอร์) หลายโหลถูกสร้างขึ้นบนเพลทพื้นผิวดังกล่าว จะพิจารณาเฉพาะกระบวนการที่เกิดขึ้นในพื้นที่เล็ก ๆ ของไมโครโปรเซสเซอร์ในอนาคตหนึ่งตัว

ขั้นตอนที่ 2 ใช้ฟิล์มป้องกันไดอิเล็กทริก (SiO2)

หลังจากการก่อตัวของสารตั้งต้นซิลิกอน ขั้นตอนของการสร้างโครงสร้างเซมิคอนดักเตอร์ที่ซับซ้อนที่สุดเริ่มต้นขึ้น

ในการทำเช่นนี้ จำเป็นต้องแนะนำสิ่งเจือปนที่เรียกว่าผู้บริจาคและตัวรับเข้าไปในซิลิกอน อย่างไรก็ตาม คำถามที่เกิดขึ้น - วิธีการนำสิ่งเจือปนไปใช้ตามรูปแบบที่กำหนดอย่างแม่นยำ? เพื่อให้เป็นไปได้ พื้นที่เหล่านั้นที่ไม่จำเป็นต้องมีสิ่งเจือปนจะถูกป้องกันด้วยฟิล์มซิลิกอนไดออกไซด์พิเศษ โดยเหลือไว้เฉพาะบริเวณที่สัมผัสซึ่งต้องผ่านกระบวนการต่อไป (รูปที่ 2) กระบวนการสร้างฟิล์มป้องกันที่มีลวดลายที่ต้องการประกอบด้วยหลายขั้นตอน

ในขั้นตอนแรก แผ่นเวเฟอร์ซิลิกอนทั้งหมดจะถูกปกคลุมด้วยฟิล์มบาง ๆ ของซิลิกอนไดออกไซด์ (SiO2) ซึ่งเป็นฉนวนที่ดีมากและทำหน้าที่เป็นฟิล์มป้องกันในระหว่างการประมวลผลต่อไปของผลึกซิลิกอน แผ่นเวเฟอร์วางอยู่ในห้องที่อุณหภูมิสูง (ตั้งแต่ 900 ถึง 1100 ° C) และความดัน ออกซิเจนจะกระจายไปยังชั้นผิวของแผ่นเวเฟอร์ ซึ่งนำไปสู่การออกซิเดชันของซิลิกอนและการก่อตัวของฟิล์มพื้นผิวของซิลิคอนไดออกไซด์ เพื่อให้ฟิล์มซิลิกอนไดออกไซด์มีความหนาที่ระบุได้อย่างแม่นยำและไม่มีข้อบกพร่อง จำเป็นต้องรักษาอุณหภูมิให้คงที่ทุกจุดของแผ่นเวเฟอร์อย่างเคร่งครัดในระหว่างกระบวนการออกซิเดชัน หากไม่เคลือบแผ่นเวเฟอร์ทั้งหมดด้วยฟิล์มซิลิกอนไดออกไซด์ ขั้นแรกให้ใช้หน้ากาก Si3N4 กับพื้นผิวซิลิกอนเพื่อป้องกันการเกิดออกซิเดชันที่ไม่พึงประสงค์

ขั้นตอนที่ 3 การใช้ photoresist

หลังจากที่พื้นผิวซิลิกอนถูกปกคลุมด้วยฟิล์มป้องกันของซิลิกอนไดออกไซด์ จำเป็นต้องเอาฟิล์มนี้ออกจากสถานที่เหล่านั้นซึ่งจะต้องผ่านการประมวลผลต่อไป การกำจัดฟิล์มทำได้โดยการกัด และเพื่อป้องกันพื้นที่ที่เหลือจากการกัดเซาะ ชั้นของ photoresist ที่เรียกว่าถูกนำไปใช้กับพื้นผิวของเวเฟอร์ คำว่า "photoresists" หมายถึงสูตรที่ไวต่อแสงและทนต่อปัจจัยที่ก้าวร้าว องค์ประกอบที่นำไปใช้ควรมีคุณสมบัติในการถ่ายภาพบางอย่าง (ภายใต้อิทธิพลของแสงอัลตราไวโอเลต พวกมันจะละลายได้และถูกชะล้างออกในระหว่างกระบวนการกัดเซาะ) และในทางกลับกัน ความต้านทาน ทำให้พวกเขาทนต่อการกัดเซาะในกรดและ ด่าง ความร้อน ฯลฯ วัตถุประสงค์หลักของ photoresists คือการสร้างการบรรเทาการป้องกันของการกำหนดค่าที่ต้องการ

กระบวนการของการใช้ photoresist และการฉายรังสีเพิ่มเติมด้วยแสงอัลตราไวโอเลตตามรูปแบบที่กำหนดเรียกว่า photolithography และรวมถึงการทำงานพื้นฐานดังต่อไปนี้: การก่อตัวของชั้น photoresist (การประมวลผลของพื้นผิว การใช้งาน การอบแห้ง) การก่อตัวของการป้องกัน การบรรเทา (การรับแสง การพัฒนา การอบแห้ง) และการถ่ายโอนภาพไปยังพื้นผิว (การแกะสลัก การสปัตเตอร์ ฯลฯ)

ก่อนที่จะใช้ชั้น photoresist (รูปที่ 3) กับพื้นผิว ชั้นหลังจะถูกปรับสภาพก่อน อันเป็นผลมาจากการปรับปรุงการยึดเกาะของชั้น photoresist วิธีการหมุนเหวี่ยงจะใช้เพื่อเคลือบชั้นที่สม่ำเสมอของ photoresist สารตั้งต้นวางอยู่บนจานหมุน (เครื่องหมุนเหวี่ยง) และภายใต้อิทธิพลของแรงเหวี่ยงหนีศูนย์กลาง สารเรืองแสงจะกระจายไปทั่วพื้นผิวของสารตั้งต้นในชั้นที่เกือบจะเท่ากัน (เมื่อพูดถึงชั้นที่สม่ำเสมอในทางปฏิบัติ เราควรคำนึงถึงความจริงที่ว่าภายใต้การกระทำของแรงเหวี่ยงหนีศูนย์กลาง ความหนาของฟิล์มที่ได้จะเพิ่มขึ้นจากจุดศูนย์กลางไปยังขอบ อย่างไรก็ตาม วิธีการใช้โฟโตรีซีสต์นี้ทำให้สามารถ ทนต่อความผันผวนของความหนาของชั้นภายใน ± 10%.)

ขั้นตอนที่ 4 การพิมพ์หิน

หลังจากทาและทำให้ชั้น photoresist แห้ง ขั้นตอนของการสร้างตัวป้องกันที่จำเป็นจะเริ่มต้นขึ้น ความโล่งใจเกิดขึ้นจากความจริงที่ว่าภายใต้การกระทำของรังสีอัลตราไวโอเลตที่ตกลงมาบนพื้นที่บางส่วนของชั้น photoresist หลังจะเปลี่ยนคุณสมบัติของความสามารถในการละลายเช่นบริเวณที่ส่องสว่างจะหยุดละลายในตัวทำละลายซึ่งจะเอาพื้นที่ออก ของชั้นที่ไม่โดนแสงหรือในทางกลับกัน - พื้นที่ที่ส่องสว่างจะละลาย โดยวิธีการสร้างความโล่งใจ photoresists แบ่งออกเป็นเชิงลบและบวก photoresists เชิงลบภายใต้อิทธิพลของรังสีอัลตราไวโอเลตสร้างพื้นที่ป้องกันของการบรรเทา ในทางกลับกัน นักถ่ายภาพเชิงบวก เมื่อสัมผัสกับรังสีอัลตราไวโอเลต จะได้คุณสมบัติการไหลและถูกชะล้างด้วยตัวทำละลาย ดังนั้นชั้นป้องกันจึงเกิดขึ้นในบริเวณที่ไม่ได้สัมผัสกับรังสีอัลตราไวโอเลต

เพื่อเพิ่มความสว่างในบริเวณที่ต้องการของเลเยอร์ photoresist จะใช้เทมเพลตมาสก์พิเศษ ส่วนใหญ่มักจะใช้แผ่นแก้วออปติคัลที่มีองค์ประกอบทึบแสงที่ได้จากการถ่ายภาพหรืออย่างอื่นเพื่อจุดประสงค์นี้ อันที่จริง เทมเพลตดังกล่าวมีภาพวาดของหนึ่งในเลเยอร์ของไมโครเซอร์กิตในอนาคต (อาจมีทั้งหมดหลายร้อยเลเยอร์ดังกล่าว) เนื่องจากเทมเพลตนี้เป็นข้อมูลอ้างอิง จึงต้องดำเนินการด้วยความแม่นยำสูง นอกจากนี้ เมื่อพิจารณาถึงความจริงที่ว่าแผ่นภาพถ่ายจำนวนมากจะทำจากโฟโตมาสก์แผ่นเดียว จะต้องมีความทนทานและทนต่อความเสียหาย ดังนั้นจึงเป็นที่ชัดเจนว่าโฟโตมาสก์มีราคาแพงมาก: ขึ้นอยู่กับความซับซ้อนของไมโครเซอร์กิต อาจมีราคาหลายหมื่นดอลลาร์

รังสีอัลตราไวโอเลตผ่านแม่แบบดังกล่าว (รูปที่ 4) ส่องสว่างเฉพาะพื้นที่ที่จำเป็นของพื้นผิวของชั้น photoresist หลังจากการฉายรังสีแล้ว photoresist ได้รับการพัฒนาซึ่งจะขจัดส่วนที่ไม่จำเป็นของชั้น สิ่งนี้จะเปิดส่วนที่เกี่ยวข้องของชั้นซิลิกอนไดออกไซด์

แม้จะดูเรียบง่ายของกระบวนการโฟโตลิโทกราฟี แต่ก็เป็นขั้นตอนในการผลิตไมโครเซอร์กิตที่ยากที่สุด ความจริงก็คือตามคำทำนายของมัวร์ จำนวนทรานซิสเตอร์บนไมโครเซอร์กิตเดียวเพิ่มขึ้นแบบทวีคูณ (เพิ่มเป็นสองเท่าทุกๆ สองปี) การเพิ่มจำนวนทรานซิสเตอร์ดังกล่าวเป็นไปได้เพียงเนื่องจากขนาดลดลง แต่การลดลง "อยู่" ในกระบวนการพิมพ์หินนั้นแม่นยำ เพื่อให้ทรานซิสเตอร์มีขนาดเล็กลง จำเป็นต้องลดขนาดเรขาคณิตของเส้นที่ใช้กับชั้น photoresist แต่มีขีดจำกัดสำหรับทุกสิ่ง - มันไม่ง่ายเลยที่จะโฟกัสลำแสงเลเซอร์ไปที่จุดใดจุดหนึ่ง ความจริงก็คือ ตามกฎของเลนส์แบบคลื่น ขนาดจุดต่ำสุดที่ลำแสงเลเซอร์ถูกโฟกัส (อันที่จริง มันไม่ใช่แค่จุด แต่เป็นรูปแบบการเลี้ยวเบน) ถูกกำหนดโดยปัจจัยอื่นๆ ความยาวของคลื่นแสง การพัฒนาเทคโนโลยีการพิมพ์ภาพตั้งแต่การประดิษฐ์ขึ้นในช่วงต้นทศวรรษ 70 เป็นไปในทิศทางของการหดตัวของความยาวคลื่นของแสง นี่คือสิ่งที่ทำให้สามารถลดขนาดขององค์ประกอบวงจรรวมได้ ตั้งแต่กลางทศวรรษ 1980 photolithography ได้เริ่มใช้รังสีอัลตราไวโอเลตที่ผลิตโดยเลเซอร์ แนวคิดนี้ง่าย: ความยาวคลื่นของรังสีอัลตราไวโอเลตสั้นกว่าความยาวคลื่นของแสงที่มองเห็นได้ ดังนั้นจึงเป็นไปได้ที่จะได้เส้นที่บางลงบนพื้นผิวของ photoresist เมื่อไม่นานมานี้ การพิมพ์หินใช้รังสีอัลตราไวโอเลตแบบลึก (Deep Ultra Violet, DUV) ที่มีความยาวคลื่น 248 นาโนเมตร อย่างไรก็ตาม เมื่อโฟโตลิโทกราฟีข้ามขอบเขต 200 นาโนเมตร ปัญหาร้ายแรงได้เกิดขึ้นซึ่งเป็นครั้งแรกที่มีการตั้งคำถามถึงความเป็นไปได้ที่จะใช้เทคโนโลยีนี้ต่อไป ตัวอย่างเช่น ที่ความยาวคลื่นน้อยกว่า 200 ไมครอน แสงมากเกินไปจะถูกดูดซับโดยชั้นที่ไวต่อแสง ดังนั้นขั้นตอนการถ่ายโอนแม่แบบวงจรไปยังโปรเซสเซอร์จึงซับซ้อนและช้าลง ความท้าทายเช่นนี้กำลังกระตุ้นให้นักวิจัยและผู้ผลิตแสวงหาทางเลือกอื่นนอกเหนือจากเทคโนโลยีการพิมพ์หินแบบดั้งเดิม

เทคโนโลยีการพิมพ์หินแบบใหม่ที่เรียกว่า EUV lithography (Extreme UltraViolet) มีพื้นฐานมาจากการใช้รังสีอัลตราไวโอเลตที่มีความยาวคลื่น 13 นาโนเมตร

การเปลี่ยนภาพจากการพิมพ์หิน DUV เป็น EUV ทำให้ความยาวคลื่นลดลงมากกว่า 10 เท่า และช่วงการเปลี่ยนภาพเป็นช่วงที่เทียบได้กับขนาดเพียงไม่กี่สิบอะตอม

เทคโนโลยีการพิมพ์หินที่ใช้ในปัจจุบันช่วยให้วางแม่แบบที่มีความกว้างตัวนำขั้นต่ำ 100 นาโนเมตร ในขณะที่การพิมพ์หิน EUV ทำให้สามารถพิมพ์บรรทัดที่มีความกว้างน้อยกว่ามาก - สูงสุด 30 นาโนเมตร การควบคุมรังสีเกินขีดนั้นไม่ง่ายอย่างที่คิด เนื่องจากแก้วดูดซับรังสี EUV ได้ดี เทคโนโลยีใหม่นี้จึงเกี่ยวข้องกับการใช้กระจกนูนพิเศษสี่ชุดที่ลดขนาดและโฟกัสภาพที่ได้รับหลังจากใช้หน้ากาก (รูปที่ 5,,) กระจกแต่ละบานประกอบด้วยชั้นโลหะ 80 ชั้นแยกกันหนาประมาณ 12 อะตอม

ขั้นตอนที่ 5. การแกะสลัก

หลังจากการสัมผัสกับชั้น photoresist ขั้นตอนการแกะสลักจะเริ่มขึ้นเพื่อเอาฟิล์มซิลิกอนไดออกไซด์ออก (รูปที่ 8)

กระบวนการดองมักเกี่ยวข้องกับการอาบน้ำด้วยกรด วิธีการกัดกรดนี้เป็นที่รู้จักกันดีในหมู่นักวิทยุสมัครเล่นที่ทำแผงวงจรพิมพ์ด้วยตัวเอง เมื่อต้องการทำเช่นนี้ รูปแบบของแทร็กของกระดานในอนาคตจะถูกนำไปใช้กับ textolite ฟอยล์ที่มีสารเคลือบเงาซึ่งทำหน้าที่เป็นชั้นป้องกันจากนั้นจานจะถูกลดระดับลงในอ่างด้วยกรดไนตริก พื้นที่ที่ไม่จำเป็นของกระดาษฟอยล์จะถูกแกะออก เผยให้เห็น textolite ที่สะอาด วิธีนี้มีข้อเสียหลายประการ วิธีหลักคือไม่สามารถควบคุมกระบวนการกำจัดชั้นได้อย่างแม่นยำ เนื่องจากมีปัจจัยมากเกินไปที่ส่งผลต่อกระบวนการกัดเซาะ: ความเข้มข้นของกรด อุณหภูมิ การพาความร้อน ฯลฯ นอกจากนี้ กรดจะทำปฏิกิริยากับวัสดุในทุกทิศทางและค่อยๆ แทรกซึมเข้าไปใต้ขอบของหน้ากากไวแสง นั่นคือ ทำลายชั้นที่เคลือบด้วยโฟโตรีซีสต์จากด้านข้าง ดังนั้นในการผลิตโปรเซสเซอร์จึงใช้วิธีการกัดแบบแห้งหรือที่เรียกว่าพลาสมา วิธีนี้ช่วยให้คุณควบคุมกระบวนการแกะสลักได้อย่างแม่นยำ และการทำลายชั้นสลักจะเกิดขึ้นในแนวตั้งอย่างเคร่งครัด

การกัดแบบแห้งใช้ก๊าซไอออไนซ์ (พลาสมา) เพื่อขจัดซิลิกอนไดออกไซด์ออกจากผิวเวเฟอร์และทำปฏิกิริยากับพื้นผิวซิลิกอนไดออกไซด์เพื่อสร้างผลพลอยได้จากการระเหย

หลังจากขั้นตอนการแกะสลัก กล่าวคือ เมื่อเปิดเผยบริเวณที่ต้องการของซิลิกอนบริสุทธิ์ โฟโตเลเยอร์ที่เหลือจะถูกลบออก ดังนั้นรูปแบบซิลิกอนไดออกไซด์ยังคงอยู่บนพื้นผิวซิลิกอน

ขั้นตอนที่ 6 การแพร่กระจาย (การปลูกถ่ายไอออน)

จำได้ว่ากระบวนการก่อนหน้าของการสร้างรูปแบบที่ต้องการบนพื้นผิวซิลิกอนเป็นสิ่งจำเป็นเพื่อสร้างโครงสร้างเซมิคอนดักเตอร์ในสถานที่ที่เหมาะสมโดยการแนะนำผู้บริจาคหรือสิ่งเจือปนของตัวรับ กระบวนการแนะนำสิ่งเจือปนดำเนินการโดยวิธีการแพร่ (รูปที่ 9) - การแนะนำอะตอมของสิ่งเจือปนในตาข่ายคริสตัลซิลิกอนอย่างสม่ำเสมอ พลวง สารหนู หรือฟอสฟอรัส มักใช้เพื่อให้ได้สารกึ่งตัวนำชนิด n เพื่อให้ได้เซมิคอนดักเตอร์ชนิด p จะใช้โบรอน แกลเลียม หรืออะลูมิเนียมเป็นสิ่งเจือปน

สำหรับกระบวนการแพร่ของสารเจือปน จะใช้การฝังไอออน กระบวนการฝังประกอบด้วยความจริงที่ว่าไอออนของสิ่งเจือปนที่ต้องการนั้น "ถูกยิง" จากเครื่องเร่งอนุภาคไฟฟ้าแรงสูงและมีพลังงานเพียงพอ เจาะเข้าไปในชั้นผิวของซิลิกอน

ดังนั้น เมื่อสิ้นสุดขั้นตอนของการฝังไอออน เลเยอร์ที่ต้องการของโครงสร้างเซมิคอนดักเตอร์จึงถูกสร้างขึ้น อย่างไรก็ตาม ไมโครโปรเซสเซอร์สามารถมีชั้นดังกล่าวได้หลายชั้น เพื่อสร้างเลเยอร์ถัดไปในแผนภาพผลลัพธ์ จะมีการปลูกซิลิคอนไดออกไซด์บางชั้นเพิ่มเติม หลังจากนั้นจะใช้ชั้นของโพลีคริสตัลลีนซิลิกอนและโฟโตรีซิสอีกชั้นหนึ่ง รังสีอัลตราไวโอเลตผ่านหน้ากากที่สองและเน้นรูปแบบที่สอดคล้องกันบนเลเยอร์ภาพถ่าย ตามด้วยขั้นตอนของการละลายของชั้นภาพถ่าย การแกะสลัก และการฝังไอออน

ขั้นตอนที่ 7. การพ่นและทับถม

การกำหนดเลเยอร์ใหม่จะดำเนินการหลายครั้งในขณะที่สำหรับการเชื่อมต่อระหว่างเลเยอร์ใน "หน้าต่าง" ของชั้นจะเหลือซึ่งเต็มไปด้วยอะตอมของโลหะ เป็นผลให้มีการสร้างแถบโลหะบนบริเวณที่เป็นผลึกคริสตัล ดังนั้นในโปรเซสเซอร์สมัยใหม่จึงมีการสร้างการเชื่อมต่อระหว่างเลเยอร์ที่สร้างโครงร่างสามมิติที่ซับซ้อน กระบวนการเติบโตและประมวลผลทุกชั้นใช้เวลาหลายสัปดาห์ และวงจรการผลิตเองประกอบด้วยมากกว่า 300 ขั้นตอน ด้วยเหตุนี้ โปรเซสเซอร์ที่เหมือนกันหลายร้อยตัวจึงถูกสร้างขึ้นบนแผ่นเวเฟอร์ซิลิคอน

ในการทนต่อแรงกดที่แผ่นเวเฟอร์ต้องเผชิญในระหว่างขั้นตอนการสะสมของชั้น สารตั้งต้นซิลิกอนในขั้นต้นจะหนาเพียงพอ ดังนั้น ก่อนตัดเวเฟอร์เป็นโปรเซสเซอร์แยกกัน ความหนาของแผ่นจะลดลง 33% และขจัดสิ่งปนเปื้อนจากด้านหลังออก จากนั้นชั้นของวัสดุพิเศษจะถูกนำไปใช้กับด้านหลังของพื้นผิวซึ่งช่วยเพิ่มการยึดติดของคริสตัลกับเคสของโปรเซสเซอร์ในอนาคต

ขั้นตอนที่ 8 ขั้นตอนสุดท้าย

เมื่อสิ้นสุดรอบการก่อตัว โปรเซสเซอร์ทั้งหมดจะได้รับการทดสอบอย่างละเอียด จากนั้นคริสตัลที่ทดสอบแล้วคอนกรีตจะถูกตัดออกจากแผ่นพื้นผิวโดยใช้อุปกรณ์พิเศษ (รูปที่ 10)

ไมโครโปรเซสเซอร์แต่ละตัวถูกฝังอยู่ในเคสป้องกัน ซึ่งให้การเชื่อมต่อทางไฟฟ้าของชิปไมโครโปรเซสเซอร์กับอุปกรณ์ภายนอก ประเภทของกล่องหุ้มขึ้นอยู่กับประเภทและจุดประสงค์ในการใช้งานไมโครโปรเซสเซอร์

หลังจากปิดผนึกเข้าไปในตัวเครื่องแล้ว ไมโครโปรเซสเซอร์แต่ละตัวจะได้รับการทดสอบอีกครั้ง โปรเซสเซอร์ที่บกพร่องจะถูกปฏิเสธ และตัวประมวลผลที่ใช้งานได้จะต้องได้รับการทดสอบความเครียด จากนั้นโปรเซสเซอร์จะถูกจัดเรียงตามลักษณะการทำงานที่ความเร็วสัญญาณนาฬิกาและแรงดันไฟฟ้าที่ต่างกัน

เทคโนโลยีขั้นสูง

กระบวนการทางเทคโนโลยีของการผลิตไมโครเซอร์กิต (โดยเฉพาะโปรเซสเซอร์) ได้รับการพิจารณาโดยเราอย่างเรียบง่าย แต่แม้กระทั่งการนำเสนอแบบผิวเผินนี้ทำให้เราเข้าใจถึงปัญหาทางเทคโนโลยีที่ต้องเผชิญเมื่อลดขนาดของทรานซิสเตอร์

อย่างไรก็ตาม ก่อนที่จะพิจารณาเทคโนโลยีที่มีแนวโน้มใหม่ ให้เราตอบคำถามในตอนต้นของบทความว่า มาตรฐานการออกแบบของกระบวนการทางเทคโนโลยีคืออะไร และที่จริงแล้ว มาตรฐานการออกแบบ 130 นาโนเมตร แตกต่างจากมาตรฐาน 180 นาโนเมตรอย่างไร ? 130 นาโนเมตร หรือ 180 นาโนเมตร คือระยะต่ำสุดที่มีลักษณะเฉพาะระหว่างสององค์ประกอบที่อยู่ติดกันในชั้นหนึ่งของไมโครเซอร์กิต นั่นคือ ชนิดของกริดสเต็ปที่องค์ประกอบของไมโครเซอร์กิตถูกผูกไว้ ในขณะเดียวกันก็ค่อนข้างชัดเจนว่ายิ่งขนาดลักษณะนี้เล็กลงเท่าใดก็ยิ่งสามารถวางทรานซิสเตอร์ไว้บนพื้นที่เดียวกันของไมโครเซอร์กิตได้มากขึ้น

ปัจจุบันใช้กระบวนการผลิต 0.13 ไมครอนในการผลิตโปรเซสเซอร์ Intel เทคโนโลยีนี้ใช้ในการผลิตโปรเซสเซอร์ Intel Pentium 4 ที่มีแกน Northwood, โปรเซสเซอร์ Intel Pentium III ที่มีแกน Tualatin และโปรเซสเซอร์ Intel Celeron ในกรณีของการใช้กระบวนการทางเทคโนโลยีดังกล่าว ความกว้างของช่องสัญญาณที่มีประสิทธิภาพของทรานซิสเตอร์คือ 60 นาโนเมตร และความหนาของชั้นเกตออกไซด์ไม่เกิน 1.5 นาโนเมตร โดยรวมแล้ว โปรเซสเซอร์ Intel Pentium 4 มีทรานซิสเตอร์ 55 ล้านตัว

นอกจากการเพิ่มความหนาแน่นของทรานซิสเตอร์ในคริสตัลของโปรเซสเซอร์แล้ว เทคโนโลยี 0.13 ไมครอน ซึ่งแทนที่เทคโนโลยี 0.18 ไมครอน ยังมีนวัตกรรมอื่นๆ ขั้นแรก ใช้การเชื่อมต่อทองแดงระหว่างทรานซิสเตอร์แต่ละตัว (ในเทคโนโลยี 0.18 ไมครอน การเชื่อมต่อเป็นอะลูมิเนียม) ประการที่สอง เทคโนโลยี 0.13 ไมครอนช่วยลดการใช้พลังงาน ตัวอย่างเช่น สำหรับเทคโนโลยีมือถือ นี่หมายความว่าการใช้พลังงานของไมโครโปรเซสเซอร์ลดลงและอายุการใช้งานแบตเตอรี่ยาวนานขึ้น

นวัตกรรมล่าสุดที่นำมาใช้ในการเปลี่ยนไปเป็นกระบวนการทางเทคโนโลยี 0.13 ไมครอนคือการใช้แผ่นเวเฟอร์ซิลิกอน (เวเฟอร์) ที่มีขนาดเส้นผ่าศูนย์กลาง 300 มม. จำได้ว่าก่อนหน้านั้น โปรเซสเซอร์และไมโครเซอร์กิตส่วนใหญ่ผลิตขึ้นโดยใช้เวเฟอร์ขนาด 200 มม.

การเพิ่มขนาดเส้นผ่านศูนย์กลางของเพลตช่วยให้คุณลดต้นทุนของโปรเซสเซอร์แต่ละตัวและเพิ่มผลผลิตของผลิตภัณฑ์ที่มีคุณภาพที่เหมาะสม อันที่จริง พื้นที่ของเพลตที่มีเส้นผ่านศูนย์กลาง 300 มม. นั้นใหญ่กว่าพื้นที่ของเพลตที่มีเส้นผ่านศูนย์กลาง 200 มม. ถึง 2.25 เท่า ตามลำดับ และจำนวนโปรเซสเซอร์ที่ได้จากเพลตเดียวที่มีเส้นผ่านศูนย์กลาง 300 มม. มีขนาดใหญ่กว่าสองเท่า

ในปี พ.ศ. 2546 คาดว่าจะเปิดตัวกระบวนการทางเทคโนโลยีใหม่ที่มีมาตรฐานการออกแบบที่ต่ำกว่านั้น นั่นคือกระบวนการ 90 นาโนเมตร กระบวนการผลิตใหม่ ซึ่ง Intel จะใช้ในการผลิตผลิตภัณฑ์ส่วนใหญ่ รวมทั้งโปรเซสเซอร์ ชิปเซ็ต และอุปกรณ์สื่อสาร ได้รับการพัฒนาที่โรงงานนำร่อง D1C เวเฟอร์ 300 มม. ของ Intel ในเมืองฮิลส์โบโร รัฐโอเรกอน

เมื่อวันที่ 23 ตุลาคม พ.ศ. 2545 Intel ได้ประกาศเปิดโรงงานแห่งใหม่มูลค่า 2 พันล้านดอลลาร์ในเมืองริโอแรนโช รัฐนิวเม็กซิโก โรงงานแห่งใหม่นี้เรียกว่า F11X จะใช้เทคโนโลยีล้ำสมัยในการผลิตโปรเซสเซอร์บนเวเฟอร์ขนาด 300 มม. โดยใช้กระบวนการออกแบบที่มีอัตรา 0.13 ไมครอน ในปี 2546 โรงงานจะถูกโอนไปสู่กระบวนการทางเทคโนโลยีด้วยมาตรฐานการออกแบบ 90 นาโนเมตร

นอกจากนี้ Intel ยังได้ประกาศการเริ่มต้นการก่อสร้างที่ Fab 24 ในเมืองเลกสลิป ประเทศไอร์แลนด์ เพื่อผลิตชิ้นส่วนเซมิคอนดักเตอร์บนแผ่นเวเฟอร์ซิลิคอนขนาด 300 มม. ด้วยกฎการออกแบบ 90 นาโนเมตร องค์กรใหม่ที่มีพื้นที่รวมกว่า 1 ล้านตร.ม. ฟุต ด้วยห้องพักที่สะอาดเป็นพิเศษ ด้วยพื้นที่ 160,000 ตร.ม. ft. คาดว่าจะเปิดดำเนินการได้ในช่วงครึ่งแรกของปี 2547 และจะมีพนักงานมากกว่าหนึ่งพันคน ค่าใช้จ่ายของโรงงานอยู่ที่ประมาณ 2 พันล้านดอลลาร์

กระบวนการ 90nm ใช้เทคโนโลยีขั้นสูงที่หลากหลาย นอกจากนี้ยังเป็นทรานซิสเตอร์ CMOS ที่เล็กที่สุดในโลกที่มีจำหน่ายทั่วไปด้วยความยาวเกต 50 นาโนเมตร (รูปที่ 11) ซึ่งให้ประสิทธิภาพที่เพิ่มขึ้นในขณะที่ลดการใช้พลังงาน และชั้นเกตออกไซด์ที่บางที่สุดที่เคยทำมาจากทรานซิสเตอร์ - เพียง 1.2 นาโนเมตร (รูปที่ 12) หรือน้อยกว่า 5 ชั้นอะตอมและการนำเทคโนโลยีซิลิกอนเครียดประสิทธิภาพสูงมาใช้เป็นครั้งแรกของอุตสาหกรรม

จากคุณลักษณะที่ระบุไว้ บางทีเพียงแนวคิดของ "ซิลิคอนที่มีความเครียด" เท่านั้นที่ต้องการคำอธิบาย (รูปที่ 13) ในซิลิคอนดังกล่าว ระยะห่างระหว่างอะตอมจะมากกว่าในเซมิคอนดักเตอร์ทั่วไป ในทางกลับกัน ทำให้กระแสไฟไหลเวียนได้อิสระมากขึ้น คล้ายกับการที่การจราจรเคลื่อนตัวได้อิสระและเร็วขึ้นบนถนนที่มีช่องจราจรที่กว้างขึ้น

ผลจากนวัตกรรมทั้งหมดทำให้ประสิทธิภาพของทรานซิสเตอร์ดีขึ้น 10-20% โดยมีค่าใช้จ่ายในการผลิตเพิ่มขึ้นเพียง 2%

นอกจากนี้ กระบวนการ 90nm ใช้ชิปเจ็ดชั้น (รูปที่ 14) อีกหนึ่งชั้นที่มากกว่ากระบวนการ 130nm และการเชื่อมต่อทองแดง

คุณสมบัติทั้งหมดเหล่านี้เมื่อรวมกับเวเฟอร์ซิลิคอนขนาด 300 มม. ทำให้ Intel มีประสิทธิภาพ การผลิต และต้นทุนเพิ่มขึ้น ผู้บริโภคยังได้รับประโยชน์เนื่องจากกระบวนการเทคโนโลยีใหม่ของ Intel ยังคงเติบโตอุตสาหกรรมอย่างต่อเนื่องโดยสอดคล้องกับกฎของมัวร์ ในขณะเดียวกันก็ปรับปรุงประสิทธิภาพของโปรเซสเซอร์ครั้งแล้วครั้งเล่า

การผลิตไมโครเซอร์กิตเป็นธุรกิจที่ยากมาก และลักษณะปิดของตลาดนี้ถูกกำหนดโดยลักษณะเฉพาะของเทคโนโลยีโฟโตลิโทกราฟีที่โดดเด่นในปัจจุบันเป็นหลัก วงจรอิเล็กทรอนิกส์ด้วยกล้องจุลทรรศน์ถูกฉายลงบนแผ่นเวเฟอร์ซิลิกอนผ่านโฟโตมาสก์ซึ่งแต่ละอันมีราคาสูงถึง 200,000 ดอลลาร์ ในขณะเดียวกัน ต้องใช้มาสก์อย่างน้อย 50 ชิ้นเพื่อสร้างชิปหนึ่งชิ้น เพิ่มค่าใช้จ่ายในการลองผิดลองถูกเมื่อพัฒนาโมเดลใหม่ และคุณตระหนักดีว่ามีเพียงบริษัทขนาดใหญ่เท่านั้นที่สามารถผลิตโปรเซสเซอร์ได้ในปริมาณมาก

แต่แล้วห้องปฏิบัติการทางวิทยาศาสตร์และบริษัทสตาร์ทอัพที่มีเทคโนโลยีสูงซึ่งต้องการแผนการที่ไม่ได้มาตรฐานล่ะ จะเป็นทหารได้อย่างไรซึ่งการซื้อโปรเซสเซอร์จาก "ศัตรูที่มีศักยภาพ" นั้นไม่ใช่เรื่องง่ายเลยที่จะพูดอย่างอ่อนโยน?

เราไปเยี่ยมชมสถานที่ผลิตในรัสเซียของบริษัท Mapper ของเนเธอร์แลนด์ ซึ่งต้องขอบคุณการผลิตไมโครเซอร์กิตที่สามารถหยุดเป็นซีเลสเชียลจำนวนมากและกลายเป็นอาชีพของมนุษย์ปุถุชนได้ ดีหรือเกือบง่าย ที่นี่ในอาณาเขตของ Technopolis "มอสโก" ด้วยการสนับสนุนทางการเงินของ บริษัท "Rusnano" ซึ่งเป็นส่วนประกอบสำคัญของเทคโนโลยี Mapper ซึ่งผลิตขึ้น - ระบบไฟฟ้าออปติคัล

ก่อนที่จะดำดิ่งสู่ความแตกต่างของการพิมพ์หินไร้หน้ากากของ Mapper คุณควรจดจำพื้นฐานของการถ่ายภาพด้วยแสงแบบธรรมดา

ฮักกิ้งไลท์

บนโปรเซสเซอร์ที่ทันสมัย Intel Core i7 สามารถบรรจุทรานซิสเตอร์ได้ประมาณ 2 พันล้านตัว (ขึ้นอยู่กับรุ่น) ซึ่งแต่ละตัวมีขนาด 14 นาโนเมตร ในการแสวงหาพลังการประมวลผล ผู้ผลิตจะลดขนาดของทรานซิสเตอร์และเพิ่มจำนวนทุกปี ขีด จำกัด ทางเทคโนโลยีที่เป็นไปได้ในการแข่งขันนี้ถือได้ว่าเป็น 5 นาโนเมตร: ในระยะทางดังกล่าวเอฟเฟกต์ควอนตัมเริ่มปรากฏขึ้นเนื่องจากอิเล็กตรอนในเซลล์ใกล้เคียงสามารถทำงานอย่างคาดเดาไม่ได้

ในการใช้โครงสร้างเซมิคอนดักเตอร์ด้วยกล้องจุลทรรศน์กับแผ่นเวเฟอร์ซิลิคอน จะใช้กระบวนการที่คล้ายกับการทำงานกับเครื่องขยายภาพ เว้นแต่เป้าหมายของเขาจะตรงกันข้าม - เพื่อทำให้ภาพมีขนาดเล็กที่สุด จาน (หรือ ฟิล์มป้องกัน) ถูกปกคลุมด้วย photoresist - วัสดุโพลีเมอร์ไวแสงที่เปลี่ยนคุณสมบัติของมันเมื่อสัมผัสกับแสง รูปแบบชิปที่ต้องการจะสัมผัสกับ photoresist ผ่านหน้ากากและเลนส์สะสม แผ่นพิมพ์มักจะเล็กกว่ามาสก์สี่เท่า


สารเช่นซิลิกอนหรือเจอร์เมเนียมมีอิเล็กตรอนสี่ตัวที่ระดับพลังงานภายนอก พวกมันก่อตัวเป็นคริสตัลที่สวยงามซึ่งดูเหมือนโลหะ แต่ต่างจากโลหะตรงที่พวกมันไม่นำไฟฟ้า: อิเล็กตรอนทั้งหมดของพวกมันเกี่ยวข้องกับพันธะโควาเลนต์อันทรงพลังและไม่สามารถเคลื่อนที่ได้ อย่างไรก็ตาม ทุกอย่างเปลี่ยนไปหากคุณเพิ่มสิ่งเจือปนของผู้บริจาคเล็กน้อยจากสารที่มีอิเล็กตรอนห้าตัวที่ระดับชั้นนอก (ฟอสฟอรัสหรือสารหนู) อิเล็กตรอนสี่ตัวจับกับซิลิกอนและหนึ่งตัวยังคงว่างอยู่ ซิลิคอนเจือผู้บริจาค (ชนิด n) เป็นตัวนำที่ดี ถ้าเราเติมสารเจือปนของตัวรับจากสารที่มีอิเล็กตรอนสามตัวที่ระดับภายนอกลงในซิลิกอน (โบรอน, อินเดียม), "รู" ซึ่งเป็นแอนะล็อกเสมือนของประจุบวกจะเกิดขึ้นในลักษณะเดียวกัน ในกรณีนี้ เรากำลังพูดถึงเซมิคอนดักเตอร์ชนิด p โดยการเชื่อมต่อตัวนำชนิด p และ n เราจะได้ไดโอด - อุปกรณ์เซมิคอนดักเตอร์ที่ส่งกระแสไปในทิศทางเดียวเท่านั้น ชุดค่าผสม p-n-pหรือ n-p-n ให้ทรานซิสเตอร์แก่เรา - กระแสไหลผ่านก็ต่อเมื่อแรงดันไฟฟ้าบางอย่างถูกนำไปใช้กับตัวนำกลาง

การเลี้ยวเบนของแสงทำให้เกิดการปรับเปลี่ยนกระบวนการนี้เอง: ลำแสงที่ลอดผ่านรูของหน้ากากจะหักเหเล็กน้อย และแทนที่จะแสดงจุดเดียว จะมีการแสดงชุดของวงกลมที่มีศูนย์กลางศูนย์กลางเหมือนก้อนหินที่โยนลงไปในอ่างน้ำวน โชคดีที่การเลี้ยวเบนสัมพันธ์กับความยาวคลื่นซึ่งเป็นสิ่งที่วิศวกรใช้เมื่อใช้แสงอัลตราไวโอเลตที่มีความยาวคลื่น 195 นาโนเมตร ทำไมไม่แม้แต่น้อย? เพียงแต่ว่าคลื่นที่สั้นกว่าจะไม่ถูกหักเหโดยเลนส์สะสม รังสีจะผ่านโดยไม่โฟกัส นอกจากนี้ยังเป็นไปไม่ได้ที่จะเพิ่มความสามารถในการรวบรวมเลนส์ - ไม่อนุญาตให้มีความคลาดเคลื่อนทรงกลม: แต่ละรังสีจะผ่านแกนออปติคอลที่จุดโฟกัส

ความกว้างของเส้นขอบสูงสุดที่สามารถแสดงได้โดยใช้โฟโตลิโทกราฟีคือ 70 นาโนเมตร มีการพิมพ์ชิปที่มีความละเอียดสูงขึ้นในหลายขั้นตอน: ใช้โครงร่างขนาด 70 นาโนเมตร กัดวงจร แล้วเปิดส่วนถัดไปผ่านหน้ากากใหม่

ขณะนี้อยู่ในระหว่างการพัฒนาเทคโนโลยีของโฟโตลิโทกราฟีในอัลตราไวโอเลตลึก โดยใช้แสงที่มีความยาวคลื่นสูงที่สุดประมาณ 13.5 นาโนเมตร เทคโนโลยีนี้เกี่ยวข้องกับการใช้กระจกสูญญากาศและกระจกหลายชั้นที่มีการสะท้อนโดยอิงจากการรบกวนระหว่างชั้น หน้ากากจะไม่โปร่งแสง แต่เป็นองค์ประกอบสะท้อนแสง กระจกไม่มีปรากฏการณ์หักเห จึงสามารถทำงานกับแสงที่มีความยาวคลื่นเท่าใดก็ได้ แต่สำหรับตอนนี้ นี่เป็นเพียงแนวคิดที่อาจนำไปใช้ในอนาคต

วิธีการทำโปรเซสเซอร์ในปัจจุบัน


แผ่นเวเฟอร์ซิลิกอนทรงกลมขัดเงาอย่างดีขนาดเส้นผ่านศูนย์กลาง 30 ซม. เคลือบด้วยโฟโตรีซีสต์บางๆ แรงเหวี่ยงช่วยกระจายตัวรับแสงอย่างสม่ำเสมอ


วงจรในอนาคตจะสัมผัสกับ photoresist ผ่านหน้ากาก กระบวนการนี้ทำซ้ำหลายครั้งเนื่องจากชิปจำนวนมากทำจากแผ่นเวเฟอร์เดียว


ส่วนของ photoresist ที่ได้รับรังสีอัลตราไวโอเลตจะละลายได้และสามารถกำจัดออกได้ง่ายด้วยสารเคมี


พื้นที่ของแผ่นเวเฟอร์ซิลิกอนที่ไม่ได้รับการป้องกันโดย photoresist จะถูกกัดด้วยสารเคมี อาการซึมเศร้าเกิดขึ้นแทนที่


ชั้นของ photoresist ถูกนำไปใช้กับจานอีกครั้ง คราวนี้ ใช้การเปิดโปงเพื่อแสดงพื้นที่เหล่านั้นที่จะเกิดการทิ้งระเบิดด้วยไอออน


ภายใต้อิทธิพลของสนามไฟฟ้า ไอออนของสิ่งเจือปนจะถูกเร่งให้มีความเร็วมากกว่า 300,000 กม./ชม. และเจาะเข้าไปในซิลิกอน ทำให้เป็นคุณสมบัติของสารกึ่งตัวนำ


หลังจากเอาเศษของ photoresist ออก ทรานซิสเตอร์สำเร็จรูปยังคงอยู่บนจาน ชั้นอิเล็กทริกถูกนำไปใช้ที่ด้านบนซึ่งในรูสำหรับหน้าสัมผัสถูกแกะสลักโดยใช้เทคโนโลยีเดียวกัน


แผ่นวางอยู่ในสารละลายคอปเปอร์ซัลเฟตและชั้นนำไฟฟ้าถูกนำไปใช้กับอิเล็กโทรไลซิส จากนั้นชั้นทั้งหมดจะถูกลบออกโดยการเจียรและหน้าสัมผัสในรูยังคงอยู่


หน้าสัมผัสเชื่อมต่อด้วยเครือข่าย "สายไฟ" โลหะหลายชั้น จำนวน "พื้น" สามารถมีได้ถึง 20 และรูปแบบทั่วไปของตัวนำเรียกว่าสถาปัตยกรรมโปรเซสเซอร์


เฉพาะตอนนี้เท่านั้นที่มีการเลื่อยแผ่นเป็นชิ้นเล็กชิ้นน้อย "คริสตัล" แต่ละตัวได้รับการทดสอบแล้วติดตั้งบนบอร์ดที่มีหน้าสัมผัสและหุ้มด้วยฝาหม้อน้ำสีเงินเท่านั้น

ทีวี 13,000 เครื่อง

อีกทางเลือกหนึ่งสำหรับโฟโตลิโทกราฟีคืออิเล็กโตรไลโตกราฟี เมื่อไม่ได้สัมผัสกับแสง แต่ด้วยอิเล็กตรอน และไม่ใช่ด้วยภาพถ่าย แต่ด้วยอิเล็กโตรรีซีสต์ ลำแสงอิเล็กตรอนถูกโฟกัสไปที่จุดที่มีขนาดต่ำสุดอย่างง่ายดาย จนถึง 1 นาโนเมตร เทคโนโลยีนี้คล้ายกับหลอดรังสีแคโทดของโทรทัศน์: กระแสอิเล็กตรอนที่ถูกโฟกัสถูกเบี่ยงเบนโดยขดลวดควบคุม วาดภาพบนแผ่นเวเฟอร์ซิลิกอน

จนกระทั่งเมื่อไม่นานนี้ เทคโนโลยีนี้ไม่สามารถแข่งขันกับวิธีการแบบเดิมได้เนื่องจากความเร็วต่ำ เพื่อให้อิเล็กโตรเรซิสต์ทำปฏิกิริยากับการแผ่รังสี จะต้องยอมรับอิเล็กตรอนจำนวนหนึ่งต่อหนึ่งหน่วยพื้นที่ ดังนั้นลำแสงหนึ่งสามารถเปิดรับแสงได้ดีที่สุด 1 ซม.2 / ชม. นี้เป็นที่ยอมรับสำหรับการสั่งซื้อเดี่ยวจากห้องปฏิบัติการ แต่ไม่สามารถใช้ได้ในอุตสาหกรรม

น่าเสียดายที่การแก้ปัญหาด้วยการเพิ่มพลังงานของลำแสงเป็นไปไม่ได้: ประจุที่มีชื่อเดียวกันจะถูกขับไล่ ดังนั้นเมื่อกระแสเพิ่มขึ้น ลำแสงอิเล็กตรอนจะกว้างขึ้น แต่คุณสามารถเพิ่มจำนวนรังสีได้โดยการเปิดเผยหลายโซนพร้อมกัน และถ้าหลาย - นี่คือ 13,000 เช่นเดียวกับในเทคโนโลยี Mapper ตามการคำนวณเป็นไปได้ที่จะพิมพ์ชิปเต็มมูลค่าสิบชิปต่อชั่วโมง


แน่นอนว่าเป็นไปไม่ได้ที่จะรวมหลอดรังสีแคโทด 13,000 หลอดไว้ในอุปกรณ์เดียว ในกรณีของ Mapper การแผ่รังสีจากแหล่งกำเนิดจะถูกส่งไปยังเลนส์คอลลิเมเตอร์ ซึ่งก่อให้เกิดลำอิเล็กตรอนขนานกว้าง เมทริกซ์รูรับแสงยืนอยู่ในทางของมัน ซึ่งเปลี่ยนเป็นลำแสงเดี่ยว 13,000 ลำ คานจะลอดผ่านอาร์เรย์ที่ว่างเปล่า ซึ่งเป็นแผ่นเวเฟอร์ซิลิกอน 13,000 รู อิเล็กโทรดโก่งตัวตั้งอยู่ใกล้แต่ละอิเล็กโทรด หากกระแสถูกนำไปใช้กับอิเลคตรอน อิเล็กตรอนจะ "พลาด" รูของมัน และหนึ่งใน 13,000 รังสีจะถูกปิด

หลังจากผ่านช่องว่าง ลำแสงจะถูกส่งไปยังแผงเบี่ยง ซึ่งแต่ละอันสามารถเบี่ยงเบนลำแสงได้สองสามไมครอนไปทางขวาหรือซ้ายสัมพันธ์กับการเคลื่อนที่ของเพลต (ดังนั้น Mapper ยังคงคล้ายกับ 13,000 CRTs) ในที่สุด ลำแสงแต่ละอันจะถูกโฟกัสเพิ่มเติมโดยไมโครเลนส์ของมันเอง หลังจากนั้นจะถูกส่งไปยังอิเล็กโตรีซิสต์ จนถึงปัจจุบัน เทคโนโลยี Mapper ได้รับการทดสอบที่สถาบันวิจัยไมโครอิเล็กทรอนิกส์ของฝรั่งเศส CEA-Leti และที่ TSMC ซึ่งผลิตไมโครโปรเซสเซอร์สำหรับผู้เล่นในตลาดชั้นนำ (รวมถึง Apple iPhone 6S) ส่วนประกอบสำคัญของระบบ รวมถึงเลนส์อิเล็กทรอนิกส์ซิลิกอน ผลิตขึ้นที่โรงงานในมอสโก

เทคโนโลยี Mapper ให้มุมมองใหม่ ไม่เพียงแต่สำหรับห้องปฏิบัติการวิจัยและการผลิตขนาดเล็ก (รวมถึงการทหาร) แต่สำหรับผู้เล่นรายใหญ่ด้วย ทุกวันนี้ ในการทดสอบต้นแบบของโปรเซสเซอร์ใหม่ คุณต้องสร้างโฟโตมาสก์แบบเดียวกับการผลิตจำนวนมาก ความเป็นไปได้ของการสร้างต้นแบบวงจรที่ค่อนข้างรวดเร็วไม่เพียงแต่จะช่วยลดต้นทุนการพัฒนาเท่านั้น แต่ยังช่วยเร่งความก้าวหน้าในด้านนี้ด้วย ซึ่งท้ายที่สุดก็อยู่ในมือของผู้บริโภคอุปกรณ์อิเล็กทรอนิกส์จำนวนมาก นั่นคือพวกเราทุกคน

ซีพียูนี่คือหัวใจของใครๆ คอมพิวเตอร์สมัยใหม่... ไมโครโปรเซสเซอร์ใด ๆ โดยพื้นฐานแล้วเป็นวงจรรวมขนาดใหญ่ที่มีทรานซิสเตอร์อยู่ ทรานซิสเตอร์ช่วยให้คุณสร้างการคำนวณลอจิกแบบไบนารี (เปิด-ปิด) ได้ โดยการส่งผ่านกระแสไฟฟ้า โปรเซสเซอร์สมัยใหม่ใช้เทคโนโลยี 45 นาโนเมตร 45nm (นาโนเมตร) คือขนาดของทรานซิสเตอร์หนึ่งตัวที่อยู่บนเพลตโปรเซสเซอร์ จนกระทั่งเมื่อไม่นานมานี้มีการใช้เทคโนโลยี 90 นาโนเมตรเป็นหลัก

แผ่นเปลือกโลกทำจากซิลิกอนซึ่งมีขนาดใหญ่เป็นอันดับ 2 ในเปลือกโลก

ซิลิคอนได้มาจากการบำบัดทางเคมีทำให้บริสุทธิ์จากสิ่งสกปรก หลังจากนั้นก็เริ่มหลอมละลายกลายเป็นกระบอกซิลิกอนที่มีเส้นผ่านศูนย์กลาง 300 มม. กระบอกนี้ถูกตัดเป็นแผ่นด้วยด้ายเพชร ความหนาของแผ่นแต่ละแผ่นประมาณ 1 มม. เพื่อให้แผ่นมีพื้นผิวในอุดมคติหลังจากตัดด้วยด้ายแล้วจึงบดด้วยเครื่องบดพิเศษ

หลังจากนั้นพื้นผิวของแผ่นเวเฟอร์ซิลิกอนจะเรียบสนิท อย่างไรก็ตาม บริษัทผู้ผลิตหลายแห่งได้ประกาศความเป็นไปได้ที่จะทำงานกับเพลตขนาด 450 มม. แล้ว ยิ่งพื้นผิวมีขนาดใหญ่ขึ้น ก็ยิ่งต้องวางทรานซิสเตอร์มากขึ้นเท่านั้น และประสิทธิภาพของโปรเซสเซอร์ก็จะสูงขึ้น

ซีพียูประกอบด้วยแผ่นเวเฟอร์ซิลิกอนบนพื้นผิวซึ่งมีทรานซิสเตอร์ถึงเก้าระดับ คั่นด้วยชั้นออกไซด์เพื่อเป็นฉนวน

การพัฒนาเทคโนโลยีโปรเซสเซอร์

กอร์ดอน มัวร์ หนึ่งในผู้ก่อตั้ง Intel ซึ่งเป็นหนึ่งในผู้นำในการผลิตโปรเซสเซอร์ของโลก ในปี 1965 จากการสังเกตของเขา ได้ค้นพบกฎหมายตามที่โปรเซสเซอร์และไมโครเซอร์กิตรุ่นใหม่ปรากฏขึ้นในช่วงเวลาเท่ากัน การเติบโตของจำนวนทรานซิสเตอร์ในโปรเซสเซอร์จะเพิ่มขึ้นเป็นสองเท่าใน 2 ปีโดยประมาณ เป็นเวลา 40 ปี ที่กฎของกอร์ดอน มัวร์ทำงานโดยไม่มีการบิดเบือน การเรียนรู้เทคโนโลยีในอนาคตอยู่ใกล้แค่เอื้อม - มีต้นแบบที่ใช้งานได้ซึ่งใช้เทคโนโลยีโปรเซสเซอร์ 32nm และ 22nm แล้ว จนถึงกลางปี ​​2547 พลังของโปรเซสเซอร์ขึ้นอยู่กับความถี่ของโปรเซสเซอร์เป็นหลัก แต่ตั้งแต่ปี 2548 ความถี่ของโปรเซสเซอร์ก็หยุดเพิ่มขึ้น มีเทคโนโลยีใหม่สำหรับโปรเซสเซอร์แบบมัลติคอร์ นั่นคือ คอร์โปรเซสเซอร์หลายคอร์ถูกสร้างขึ้นด้วยความถี่สัญญาณนาฬิกาที่เท่ากัน และระหว่างการทำงาน พลังของคอร์จะถูกรวมเข้าด้วยกัน สิ่งนี้จะเพิ่มพลังโปรเซสเซอร์โดยรวม

ด้านล่างนี้ คุณสามารถชมวิดีโอเกี่ยวกับการผลิตโปรเซสเซอร์ได้

วิธีทำชิป

การผลิตเศษเป็นการวางเลเยอร์บางๆ ที่มี "รูปแบบ" ที่ซับซ้อนบนพื้นผิวซิลิกอน ขั้นแรกสร้างชั้นฉนวนซึ่งทำงานเหมือนชัตเตอร์ไฟฟ้า สำหรับการผลิตวัสดุพิมพ์ พวกเขาจะต้องถูกตัดเป็น "แพนเค้ก" บาง ๆ จากกระบอกคริสตัลเดี่ยวที่เป็นของแข็ง เพื่อให้สามารถตัดเป็นผลึกของโปรเซสเซอร์แยกกันได้ในภายหลัง โพรบไฟฟ้าใช้เพื่อทดสอบคริสตัลแต่ละชิ้นบนพื้นผิว ในที่สุด วัสดุพิมพ์จะถูกตัดออกเป็นแกนแต่ละแกน แกนที่ไม่ทำงานจะถูกร่อนออกทันที แกนหลักจะกลายเป็นโปรเซสเซอร์ตัวใดตัวหนึ่งและบรรจุอยู่ในแพ็คเกจที่ช่วยให้ติดตั้งโปรเซสเซอร์บนมาเธอร์บอร์ด ทั้งนี้ขึ้นอยู่กับลักษณะเฉพาะ บล็อคการทำงานทั้งหมดต้องผ่านการทดสอบความเครียดอย่างเข้มข้น

ทุกอย่างเริ่มต้นด้วยพื้นผิว

ขั้นตอนแรกในการผลิตโปรเซสเซอร์จะทำในห้องปลอดเชื้อ อย่างไรก็ตาม มันเป็นสิ่งสำคัญที่จะต้องทราบว่าการผลิตทางเทคโนโลยีดังกล่าวแสดงถึงการสะสมของทุนมหาศาลใน ตารางเมตร... การก่อสร้างโรงงานสมัยใหม่พร้อมอุปกรณ์ทั้งหมดมีราคา 2-3 พันล้านดอลลาร์ และการทดสอบเทคโนโลยีใหม่ใช้เวลาหลายเดือน จากนั้นโรงงานจะสามารถผลิตโปรเซสเซอร์จำนวนมากได้

โดยทั่วไป กระบวนการผลิตชิปประกอบด้วยขั้นตอนการประมวลผลพื้นผิวหลายขั้นตอน ซึ่งรวมถึงการสร้างพื้นผิวเอง ซึ่งในที่สุดจะถูกตัดเป็นผลึกที่แยกจากกัน Figurnov, V.E. IBM PC for the user.-M., 2004. - หน้า 204.

การผลิตพื้นผิว

ขั้นตอนแรกคือการปลูกผลึกเดี่ยว สำหรับสิ่งนี้ คริสตัลเมล็ดจะถูกฝังอยู่ในอ่างของซิลิคอนหลอมเหลว ซึ่งอยู่เหนือจุดหลอมเหลวของพอลิคริสตัลไลน์ซิลิกอน เป็นสิ่งสำคัญที่คริสตัลจะเติบโตอย่างช้าๆ (ประมาณหนึ่งวัน) เพื่อให้แน่ใจว่าอะตอมอยู่ในตำแหน่งที่ถูกต้อง คริสตัลไลน์หรืออะมอร์ฟัสซิลิกอนประกอบด้วยคริสตัลต่างๆ มากมาย ซึ่งจะนำไปสู่โครงสร้างพื้นผิวที่ไม่ต้องการด้วยคุณสมบัติทางไฟฟ้าที่ไม่ดี

เมื่อซิลิคอนละลายแล้ว ก็สามารถเจือด้วยสารอื่นๆ ที่เปลี่ยนคุณสมบัติทางไฟฟ้าของซิลิคอนได้ กระบวนการทั้งหมดเกิดขึ้นในห้องที่ปิดสนิทซึ่งมีองค์ประกอบอากาศพิเศษเพื่อไม่ให้ซิลิกอนออกซิไดซ์

คริสตัลชิ้นเดียวถูกตัดเป็น "แพนเค้ก" โดยใช้เลื่อยวงเดือนเพชรที่แม่นยำมาก ซึ่งไม่ได้สร้างความผิดปกติขนาดใหญ่บนพื้นผิวของพื้นผิว แน่นอน ในกรณีนี้ พื้นผิวของพื้นผิวยังไม่เรียบอย่างสมบูรณ์ ดังนั้นจึงจำเป็นต้องมีการดำเนินการเพิ่มเติม ผลึกเดี่ยวแสดงในรูปที่ 1

รูปที่ 1 มุมมองภายนอกของผลึกเดี่ยว

ขั้นแรก ใช้แผ่นเหล็กหมุนได้และวัสดุที่มีฤทธิ์กัดกร่อน (เช่น อะลูมิเนียมออกไซด์) ชั้นหนาจะถูกลบออกจากพื้นผิว (กระบวนการที่เรียกว่าการขัด) ด้วยเหตุนี้ จึงขจัดสิ่งผิดปกติที่มีขนาดตั้งแต่ 0.05 มม. ถึงประมาณ 0.002 มม. (2000 นาโนเมตร) จากนั้นปัดขอบของแผ่นรองด้านหลังออก เนื่องจากขอบที่แหลมคมสามารถลอกชั้นออกได้ นอกจากนี้ กระบวนการกัดจะถูกนำมาใช้เมื่อใช้สารเคมีหลายชนิด (กรดไฮโดรฟลูออริก กรดอะซิติก กรดไนตริก) พื้นผิวจะเรียบขึ้นอีกประมาณ 50 ไมครอน พื้นผิวไม่เสื่อมสภาพเนื่องจากกระบวนการทั้งหมดเป็นสารเคมีอย่างสมบูรณ์ ช่วยให้คุณสามารถขจัดข้อผิดพลาดที่เหลืออยู่ในโครงสร้างผลึกอันเป็นผลมาจากการที่พื้นผิวจะใกล้เคียงกับอุดมคติ

ขั้นตอนสุดท้ายคือการขัด ซึ่งปรับพื้นผิวให้เรียบจนไม่สม่ำเสมอ สูงสุด 3 นาโนเมตร การขัดจะดำเนินการโดยใช้ส่วนผสมของโซเดียมไฮดรอกไซด์และซิลิกาเม็ด

ทุกวันนี้ พื้นผิวไมโครโปรเซสเซอร์มีทั้งเส้นผ่านศูนย์กลาง 200 มม. หรือ 300 มม. ทำให้ผู้ผลิตชิปสามารถรับโปรเซสเซอร์หลายตัวจากแต่ละโปรเซสเซอร์ ขั้นตอนต่อไปคือพื้นผิว 450 มม. แต่ไม่ควรคาดหวังจนถึงปี 2556 โดยทั่วไป ยิ่งเส้นผ่านศูนย์กลางของวัสดุพิมพ์ใหญ่เท่าใด ก็ยิ่งสามารถผลิตเศษที่มีขนาดเท่ากันได้มากเท่านั้น ตัวอย่างเช่น วัสดุพิมพ์ 300 มม. ให้จำนวนโปรเซสเซอร์มากกว่า 200 มม. มากกว่าสองเท่า

ยาสลบและการแพร่กระจาย

มีการกล่าวถึงยาสลบที่ดำเนินการในระหว่างการเติบโตของผลึกเดี่ยว แต่ยาสลบทำได้ทั้งกับพื้นผิวสำเร็จรูปและต่อมาในระหว่างกระบวนการโฟโตลิโทกราฟี วิธีนี้ทำให้คุณสามารถเปลี่ยนคุณสมบัติทางไฟฟ้าของบางพื้นที่และบางชั้นได้ ไม่ใช่โครงสร้างทั้งหมดของคริสตัล

สารเจือปนสามารถเพิ่มได้โดยการแพร่กระจาย อะตอมของสารเจือปนเติมพื้นที่ว่างภายในโครงผลึกระหว่างโครงสร้างซิลิกอน ในบางกรณี โครงสร้างที่มีอยู่ยังสามารถผสมได้ การแพร่กระจายจะดำเนินการด้วยความช่วยเหลือของก๊าซ (ไนโตรเจนและอาร์กอน) หรือด้วยความช่วยเหลือของของแข็งหรือแหล่งอื่น ๆ ของสารเจือปน Hasegawa, H. - โลกของคอมพิวเตอร์ในคำถามและคำตอบ -M. , 2004 - P.89 ..

สร้างหน้ากาก

ในการสร้างขอบเขตของวงจรรวมจะใช้กระบวนการโฟโตลิโทกราฟี เนื่องจากในกรณีนี้ไม่จำเป็นต้องฉายรังสีพื้นผิวทั้งหมดของพื้นผิว จึงเป็นสิ่งสำคัญที่จะใช้หน้ากากที่เรียกว่าซึ่งส่งรังสีความเข้มสูงไปยังบางพื้นที่เท่านั้น มาสก์สามารถเปรียบเทียบได้กับเนกาทีฟขาวดำ วงจรรวมมีหลายชั้น (20 ขึ้นไป) และแต่ละชั้นต้องมีมาสก์ของตัวเอง

โครงสร้างฟิล์มโครเมียมบางๆ ถูกนำไปใช้กับพื้นผิวของแผ่นแก้วควอทซ์เพื่อสร้างลวดลาย ในเวลาเดียวกันเครื่องมือราคาแพงที่ใช้การไหลของอิเล็กตรอนหรือเลเซอร์กำหนดข้อมูลที่จำเป็นของวงจรรวมซึ่งเป็นผลมาจากรูปแบบโครเมียมบนพื้นผิวของพื้นผิวควอทซ์ สิ่งสำคัญคือต้องเข้าใจว่าการปรับเปลี่ยนวงจรรวมแต่ละครั้งทำให้เกิดความจำเป็นในการผลิตมาสก์ใหม่ ดังนั้นกระบวนการแก้ไขทั้งหมดจึงมีค่าใช้จ่ายสูง

โฟโตลิโทกราฟี

โครงสร้างเกิดขึ้นบนพื้นผิวซิลิกอนโดยใช้โฟโตลิโทกราฟี กระบวนการนี้ทำซ้ำหลายครั้งจนสร้างหลายเลเยอร์ (มากกว่า 20) เลเยอร์อาจประกอบด้วยวัสดุที่แตกต่างกัน นอกจากนี้ คุณต้องคิดถึงการเชื่อมต่อกับสายไฟด้วยกล้องจุลทรรศน์ด้วย ทุกชั้นสามารถเจือด้วย Wood, A. Microprocessors ในคำถามและคำตอบ - M., 2005.-P.87.

ก่อนที่กระบวนการโฟโตลิโทกราฟีจะเริ่มต้นขึ้น พื้นผิวจะถูกทำความสะอาดและให้ความร้อนเพื่อขจัดอนุภาคเหนียวและน้ำ จากนั้นพื้นผิวเคลือบด้วยซิลิกอนไดออกไซด์โดยใช้อุปกรณ์พิเศษ จากนั้นจึงใช้สารยึดเกาะกับซับสเตรตเพื่อให้แน่ใจว่าวัสดุโฟโตรีซีสต์ที่จะนำไปใช้ในขั้นตอนต่อไปยังคงอยู่บนซับสเตรต วัสดุ photoresist ถูกนำไปใช้กับตรงกลางของพื้นผิวซึ่งจะเริ่มหมุนด้วยความเร็วสูงเพื่อให้ชั้นมีการกระจายอย่างสม่ำเสมอทั่วพื้นผิวทั้งหมดของพื้นผิว จากนั้นจึงอุ่นวัสดุพิมพ์ใหม่ หลักการของโฟโตลิโทกราฟีแสดงในรูปที่ 2


รูปที่ 2 หลักการของ photolithography

จากนั้นผ่านหน้ากาก ฝาครอบจะถูกฉายรังสีด้วยเลเซอร์ควอนตัม รังสีอัลตราไวโอเลตแบบแข็ง รังสีเอกซ์ ลำแสงอิเล็กตรอนหรือไอออน แหล่งกำเนิดแสงหรือพลังงานทั้งหมดนี้สามารถนำมาใช้ได้ ลำแสงอิเล็กตรอนส่วนใหญ่ใช้เพื่อสร้างหน้ากาก รังสีเอกซ์ และลำแสงไอออนเพื่อการวิจัย และการผลิตภาคอุตสาหกรรมในปัจจุบันถูกครอบงำด้วยรังสี UV แบบแข็งและเลเซอร์ก๊าซ

รังสี UV แบบแข็งที่มีความยาวคลื่น 13.5 นาโนเมตรจะฉายรังสีวัสดุต้านทานแสงขณะผ่านหน้ากาก เวลาฉายภาพและโฟกัสมีความสำคัญมากเพื่อให้ได้ผลลัพธ์ที่ต้องการ การโฟกัสที่แย่จะทำให้อนุภาคของสารไวแสงเพิ่มขึ้น เนื่องจากรูบางส่วนในหน้ากากจะไม่ถูกฉายรังสีอย่างเหมาะสม เช่นเดียวกันจะเกิดขึ้นหากเวลาในการฉายภาพสั้นเกินไป จากนั้นโครงสร้างของวัสดุต้านทานแสงจะกว้างเกินไป พื้นที่ใต้รูจะเปิดรับแสงน้อยเกินไป ในทางกลับกัน เวลาฉายภาพที่มากเกินไปจะสร้างพื้นที่ใต้รูขนาดใหญ่เกินไปและทำให้โครงสร้างวัสดุต้านทานแสงแคบเกินไป ตามกฎแล้วจะใช้เวลานานและยากต่อการควบคุมและเพิ่มประสิทธิภาพกระบวนการ การปรับตัวที่ไม่สำเร็จจะนำไปสู่การเบี่ยงเบนอย่างรุนแรงในตัวนำเชื่อมต่อ Mayorov, S.I. ธุรกิจสารสนเทศ : การจำหน่ายและการตลาดเชิงพาณิชย์ - ม., 2550. -P.147 .. อุปกรณ์ฉายภาพสเต็ปพิเศษย้ายพื้นผิวไปยังตำแหน่งที่ต้องการ จากนั้นสามารถฉายเส้นหรือส่วนใดส่วนหนึ่งได้ซึ่งส่วนใหญ่มักจะสอดคล้องกับตัวประมวลผลหนึ่งตัว การติดตั้งแบบไมโครเพิ่มเติมสามารถทำการเปลี่ยนแปลงอื่นๆ ได้ พวกเขาสามารถดีบักเทคโนโลยีที่มีอยู่และเพิ่มประสิทธิภาพกระบวนการทางเทคนิค Kukin, V.N. สารสนเทศ : องค์กรและการจัดการ -M., 2005.-P.78 .. การติดตั้งแบบไมโครมักจะทำงานบนพื้นที่น้อยกว่า 1 ตร.ม. มม. ในขณะที่การติดตั้งทั่วไปครอบคลุมพื้นที่ขนาดใหญ่

มีกระบวนการกัดกัดแบบเปียกและแบบแห้งที่ใช้รักษาบริเวณที่เป็นซิลิกา กระบวนการเปียกใช้สารประกอบทางเคมีและกระบวนการแห้งใช้ก๊าซ กระบวนการที่แยกจากกันคือการกำจัดสิ่งตกค้างของวัสดุไวแสง ผู้ผลิตมักจะรวมเอาวัสดุที่เปียกและแห้งมาผสมกันเพื่อให้แน่ใจว่าวัสดุต้านทานแสงถูกกำจัดออกจนหมด นี่เป็นสิ่งสำคัญเนื่องจากวัสดุ photoresist เป็นอินทรีย์ และหากไม่ถูกลบออก อาจทำให้เกิดข้อบกพร่องบนวัสดุพิมพ์

หลังจากการแกะสลักและทำความสะอาด คุณสามารถดำเนินการตรวจสอบพื้นผิว ซึ่งมักจะเกิดขึ้นในแต่ละขั้นตอนที่สำคัญ หรือถ่ายโอนวัสดุพิมพ์ไปยังวงจร photolithography ใหม่ การทดสอบพื้นผิวแสดงในรูปที่ 3


รูปที่ 3 การทดสอบพื้นผิว

พื้นผิวสำเร็จรูปได้รับการทดสอบในการติดตั้งโพรบที่เรียกว่า พวกมันทำงานกับพื้นผิวทั้งหมด หน้าสัมผัสโพรบถูกซ้อนทับบนหน้าสัมผัสของคริสตัลแต่ละชิ้น ซึ่งช่วยให้ทำการทดสอบทางไฟฟ้าได้ ฟังก์ชันทั้งหมดของแต่ละคอร์ได้รับการทดสอบโดยใช้ซอฟต์แวร์ การตัดวัสดุพิมพ์แสดงในรูปที่ 4

รูปที่ 4. การตัดส่วนสำรอง

โดยการตัด สามารถรับแกนแต่ละอันได้จากวัสดุพิมพ์ บน ช่วงเวลานี้หน่วยควบคุมโพรบได้ระบุแล้วว่าคริสตัลใดมีข้อผิดพลาด ดังนั้นหลังจากตัดแล้ว พวกเขาสามารถแยกออกจากคริสตัลที่ดีได้ ก่อนหน้านี้คริสตัลที่เสียหายถูกทำเครื่องหมายทางกายภาพ ตอนนี้ไม่จำเป็นสำหรับสิ่งนี้ ข้อมูลทั้งหมดจะถูกเก็บไว้ในฐานข้อมูลเดียว Semenenko, V.A. , Stupin Yu.V. Handbook เกี่ยวกับเทคโนโลยีคอมพิวเตอร์อิเล็กทรอนิกส์ - M. , 2006. - หน้า 45 ..

จากนั้นแกนที่ใช้งานได้จะต้องถูกยึดติดกับบรรจุภัณฑ์ของโปรเซสเซอร์โดยใช้วัสดุที่ยึดติด หลังจากนั้นคุณต้องทำการเชื่อมต่อสายไฟที่เชื่อมต่อหน้าสัมผัสหรือขาของบรรจุภัณฑ์และคริสตัลเอง (รูปที่ 5) สามารถใช้ขั้วต่อทอง อลูมิเนียม หรือทองแดงได้

โปรเซสเซอร์ที่ทันสมัยส่วนใหญ่ใช้พลาสติกแรปที่มีตัวกระจายความร้อน โดยปกติแกนกลางจะห่อด้วยเซรามิกหรือพลาสติกห่อหุ้มเพื่อป้องกันความเสียหาย โปรเซสเซอร์สมัยใหม่มีการติดตั้งแผ่นกระจายความร้อนซึ่งให้การปกป้องเพิ่มเติมสำหรับคริสตัล (รูปที่ 6)


รูปที่ 5. การเชื่อมต่อซับสเตรตแบบมีสาย

ขั้นตอนสุดท้ายเกี่ยวข้องกับการทดสอบโปรเซสเซอร์ว่าจะเกิดอะไรขึ้นที่อุณหภูมิสูงตามข้อกำหนดของโปรเซสเซอร์ โปรเซสเซอร์ได้รับการติดตั้งโดยอัตโนมัติในซ็อกเก็ตทดสอบ หลังจากนั้นจะมีการวิเคราะห์ฟังก์ชันที่จำเป็นทั้งหมด

รูปที่ 6. บรรจุภัณฑ์โปรเซสเซอร์